对于触发器来说,比如d触发器的作用,给它一个输入信号1,它就输出1,然后切断输入,它的输出能一直保持1不

你的位置:
一个很弱的问题,关于D触发器
因为程序里面必须用到别人写的一个模块,我自己写了testbench,看仿真的结果,感觉就是个D触发器
仿真结果如图:
当然这个模块的输出在后面还要被使用。 有一个问题就是:比如在这个仿真图中,第一个时钟上升沿之后输出就已经是9了。
那在后面的模块中,我能在第一个时钟上升沿之后就能使用这个9呢?还是至少得等到第二个时钟上升沿之后才能用啊?
因为助教一直跟我强调我不能在第一个周期使用这个9,至少要等到第二个周期,我对这个没懂。
希望知道的大侠们能帮帮我啊~~我的世界D触发器深入详细介绍_魔方网我的世界专区
你正在访问:
我的世界D触发器深入详细介绍
我的世界D触发器深入详细介绍由魔方网夏天带来,关注我的世界D触发器深入详细介绍,D触发器与门控D锁存器敏感装置,一起来看看详细内容吧。
  我的世界D触发器深入详细介绍,D触发器是对输入时钟脉冲边沿信号敏感的装置。只有在检测到边沿信号,才设置输出信号与输入端D相同。一个基础的电平触发装置是门控D锁存器。
  D触发器(英文中&D&代表&Data&,&数据&之意),是对输入时钟脉冲边沿信号敏感的装置。只有在检测到边沿信号,才设置输出信号与输入端D相同。比如时钟的信号由假变为真(上边沿信号),或时钟的信号由真变为假(下边沿信号)。一个触发器一般被称为是边沿触发装置,锁存器被称为电平触发装置(在时钟信号真或假时一直触发)。一个基础的电平触发装置是门控D锁存器,它只在时钟信号为假时设置输出信号,在时钟信号为真时忽略输入端信号 D 的改变,保持输出端信号 Q 不变。
  将一个D锁存器转换成D触发器只需要加入一个边沿触发器。设计版本里就带有一个上边沿信号触发器,它只会在时钟信号由假变为真的时候,根据输入端信号 D ,设置输出端信号。
  在这些设计方案中,它们的输出端信号都是非隔离的。这就允许异步R和S端输入(会覆写时钟信号并且强制设定输出状态)。如果要使输出端隔离,可以不使用输出端 Q, 取而代之的是使用Q端且加一个非门。
  设计方案C就是比设计版本A宽一格,并且用了正相时钟的设计版本。它会在时钟信号为真时持续的设置输出信号。我们可以设计多个这样的触发器,并且并行放置在最小的空间里。一个时钟信号可以分配给所有这些触发器,这样就允许多个触发器共用一个时钟边沿信号。输出端 Q 在反方向上和输入端一道比较容易连接。
  压缩的的D锁存器
  设计方案E就是一个更紧凑版的设计版本A,同时仍具有相同的高度需求。设计方案E'允许边沿触发器在高电平输入时被触发。设计版本F在时钟信号为真时保持状态,时钟信号变为假时输出D的状态。注意图中有一个用于断路的在红石线之上的方块,用黄色斜线表示。中继器在此用来同步信号,为此它必须处于激活状态。
  设计方案G采用了在1.4正式版中新加入的红石中继器锁存特性,在时钟信号为高电平时维持状态。且比起其他之前的D触发器设计方案而言压缩程度大大提高。设计方案H相当于两部分G方案的组合,一个为高电平触发,一个为低电平触发,这样组合起来就是上升沿触发。如果将方块与红石火把对调,得到的就是下降沿触发。
  设计方案:
  以上就是魔方网夏天带来的我的世界D触发器深入详细介绍,对此感兴趣的玩家不妨看一看。更多资讯请关注魔方网我的世界专区。
  传送门:
引领手游时尚,畅爽手游囧途,尽在魔方陪你玩官方微信
扫描二维码关注我呦!
游戏类型:模拟经营运营商:Microsoft()游戏版本:8.56
魔方游戏宝
跨服交友 实时语音边玩边聊
定制工具 PK辅助解放双手
独家福利 超值礼包元宝道具
已领&161个
战斗吧剑灵D触发器基本原理_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
D触发器基本原理
阅读已结束,如果下载本文需要使用
想免费下载本文?
你可能喜欢  摘 要:目前的芯片系统越来越复杂,对应的各个功能模块都有自己工作的时钟领域。当各个功能模块之间进行数据传递时,信号就会" />
免费阅读期刊
论文发表、论文指导
周一至周五
9:00&22:00
D触发器建立和保持时间的时序检查
&&&&&&本期共收录文章20篇
  摘 要:目前的芯片系统越来越复杂,对应的各个功能模块都有自己工作的时钟领域。当各个功能模块之间进行数据传递时,信号就会跨时钟领域。信号跨时钟领域进行传输时,就会出现亚稳态现象,可能导致整个芯片功能的错误。针对不同信号跨时钟领域,本文介绍了几种同步的设计方法。 中国论文网 /4/view-4285381.htm  关键词:亚稳态 建立时间 同步设计 时钟领域   一、D触发器的建立时间和保持时间   在芯片设计中,电路主要由门电路和D触发器构成。D触发器的特性方程为:Qn+1=D,即在触发时钟脉冲(CLK)的有效边沿到来时,触发器的输入数据D直接传送到触发器的输出端Q[1]。而在时钟触发边沿输出端Q能正确的输出D的值的前提条件是:输入信号D必须先于时钟有效沿到来之前稳定。如果时钟有效沿到来时,输入信号D正在变化,D触发器的输出状态就会变得不确定,即就会有亚稳态的出现。   输入信号必须先于时钟脉冲之前稳定的时间成为建立时间,用tset表示;同样为了保证触发器可靠翻转,输入信号的状态在时钟脉冲信号到来后还必须保持足够长的时间不变,这段时间成为保持时间,用th表示。如图1所示以接收“1”时的情况来看,D信号先于CLK上升沿建立起来(由0跳变到1)的时间不得小于建立时间tset,而在CLK上升沿到来后D仍保持“1”的时间不得小于保持时间th。   建立时间和保持时间是由触发器的电路结构决定的。图2所示为上升沿触发的CMOS集成的D触发器的电路结构图。其中由6个CMOS反相器和4个CMOS传输门组成,I2、I3和T2构成主锁存器;I4、I5和T4构成从锁存器。四个传输门中T1和T3在时钟脉冲CLK为“1”的时候导通,T2和T4在时钟脉冲CLK为“0”的时候导通。   在时钟脉冲为“0”的时候,主锁存器接收输入信号D,从锁存器输出之前保存的信号到Q端;上升沿之后,时钟脉冲为“1”,此时主锁存器输出上升沿到来时D的值直接到Q端,从锁存器不工作,如图3所示。   如图3红色线条所示,时钟脉冲从“0”跳变为“1”的上升沿时刻,传输门T1从导通跳变为截止状态。所以在时钟脉冲上升沿到来之前,输入信号必须传输到I3门的输出端A点,上升沿跳变之后T2导通,信号才能稳定的输出到Q端[2]。这段时间即为建立时间,由I1、T1、I2和I3四个门电路的延迟时间决定:   Tset=tI1+tT1+tI2+tI3 (式1)   通过减小四个门电路的延迟时间就可以减小D触发器的建立时间。   时钟脉冲信号从“0”跳变为“1”时,传输门T1从导通跳变为截止状态,在此跳变过程中,D的输入信号不能改变,否则会影响到跳变之前锁存在主锁存器中的值。即此触发器的保持时间由T1传输门从导通到截止的延迟时间和I1反相器的传输延迟时间决定:   th=tT1_close-open-tI1 (式2)   二、带同步复位和置位信号的D触发器的建立时间和保持时间   同步复位和置位信号的D触发器的内部结构图如图4所示。复位信号reset和置位信号set都是在时钟脉冲的上升沿的时候才会有效,即和时钟脉冲同步。其中复位信号为低有效,即reset为“0”时在时钟脉冲的上升沿到来的时候将Q端复位为“0”;当复位信号为“1”无效时,置位信号为高有效,即为“1”的时候且复位信号为“1”无效时,在时钟脉冲的上升沿到来的时候将Q输出端置位为“1”。由此可以看出复位信号和置位信号的优先级别为复位信号reset的优先级别高于置位信号。其特性表如表1所示。   当复位信号和置位信号都无效时,实现基本的上升沿触发的D触发器的功能。根据前面的分析,同样可以得到带同步置位和复位信号的D触发器的建立时间和保持时间分别为:   从式3和式4可以看出,带同步置位和复位信号的D触发器的建立时间变大了,相应的其保持时间减小了。   三、带异步复位和置位信号的D触发器的建立时间和保持时间   异步复位和置位信号的D触发器的内部结构图如图5所示。当复位信号reset或置位信号set有效时不需要时钟脉冲的触发沿就可以实现将Q输出端置“0”和置“1”的功能,这就是异步的意思。其特性表如表2所示。   表2 带异步置位和复位信号的D触发器特性表   从表2中可以看出,当set为“0”,reset为“1”时,实现异步置“1”的功能;当reset为“0”时,不管set的值为多少,实现异步置“0”的功能。所以可以得出的结论是reset的优先级别高于set信号。   当复位信号和置位信号都无效时,实现基本的上升沿触发的D触发器的功能。带异步置位和复位信号的D触发器的建立时间和保持时间分别为:   四、D触发器时序检查   用Verilog可以对D触发器的建立时间和保持时间做检查。调用对应的系统任务,如系统任务$setup在数据变化到时钟沿的时差小于设定的值,就会有一个违反检查规则的报告信息。其用法为:   $setup(data,posedge CLK,4);   设置了检查数据data对于时钟脉冲CLK的上升沿,建立时间设置值为4ns,即当data变化在时钟上升沿之前的4ns之内,就会有错误的报告。系统任务$hold用来检查保持时间,用法如下:   $hold(posedge CLK,data,3);   设置了检查数据data对于时钟脉冲CLK的上升沿,保持时间设置值为3ns,即当data变化在时钟上升沿之后的3ns之内,就会有错误的报告。   系统任务的写法为固定,对于时钟脉冲可以上升沿也可以用下降沿,和D触发器的触发沿相同。以上设置的检查规则为在时钟上升沿的前4ns和时钟上升沿后的3ns时间内,输入数据data都不能翻转。   以上情况建立时间和保持时间都大于0。但从建立时间的表达式可以看出,建立时间一定为正的值;而从保持时间式子可以看出,保持时间有可能为负值。如式6所示,如果tI1大于tT1_close-open,最后得到的保持时间则为负值。接下来来讨论一下如果保持时间为负值时,时序检查应该怎么进行。   如图6所示,建立时间为4ns,保持时间为-2ns。保持时间是在时钟脉冲上升沿的后面,如果为负值则在时钟脉冲上升沿的前面。用前面建立时间和保持时间的系统任务,则检查的窗口为时钟脉冲上升沿前面的4ns内data都不能改变,而实际检查只需要检查红色箭头指示的2ns的时间窗口就可以了,所以针对这种情况,我们应该用$setuphold系统任务来实现,写为:   $setuphold(posedge CLK,data,4,-2);   五、结论   在对设计进行合成的时候,需要满足时序要求。建立时间的满足需要两个触发器之间的组合逻辑延时越小越好,而保持时间要求两个触发器之间的组合逻辑延迟时间越大越好,它们是相互矛盾的。所以会出现建立时间和保持时间只能满足一个的情况,这样就只能调整设计。   在真正的设计中,通常都将建立时间设定为正值,将保持时间设定为负值,这样合成软件就可以全力化简触发器之间的组合逻辑以满足建立时间的要求。   参考文献   [1]余孟尝. 数字电子技术基础简明教程[M]. 北京:高等教育出版社,~268.   [2]尼尔H.E.威斯特,大卫.哈里斯.CMOS大规模集成电路设计[M].北京:机械工业出版社,~410.   作者简介:周莉 , 出生年月:1983年12月 学位:硕士 工作单位:四川大学锦城学院。
转载请注明来源。原文地址:
【xzbu】郑重声明:本网站资源、信息来源于网络,完全免费共享,仅供学习和研究使用,版权和著作权归原作者所有,如有不愿意被转载的情况,请通知我们删除已转载的信息。
xzbu发布此信息目的在于传播更多信息,与本网站立场无关。xzbu不保证该信息(包括但不限于文字、数据及图表)准确性、真实性、完整性等。提问回答都赚钱
> 问题详情
时序改计:试用正边沿D触发器设计一个1101序列检测器,它有一个输入端和一个输出端(似定输入序列可以重叠)。
悬赏:0&&答案豆&&&&提问人:匿名网友&&&&提问收益:0.00答案豆&&&&&&
时序改计:试用正边沿D触发器设计一个1101序列检测器,它有一个输入端和一个输出端(似定输入序列可以重叠)。
发布时间:&&截止时间:
网友回答&(共0条)
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&8.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&8.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&2.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&5.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&1.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&3.00元收益
回答悬赏问题预计能赚取&4.00元收益
你可能喜欢的
[] [] [] [] [] [] [] [] [] [] [] []
请先输入下方的验证码查看最佳答案}

我要回帖

更多关于 信号触发器 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信