数字电路与模拟电路 数字电路相比较的优势

推荐帖子:
&&行业资讯
PulseSensor是一款用于脉搏心率测量的光电反射式模拟传感器,支持ardunio开发开源硬件!用来测试心跳速率和脉搏波形的传感器,适用于学生、艺术家、运动员、开发者、游戏或...
Cntronics电子元件技术网的用户可以直接登录!
我爱方案网帐号:
我爱方案网密码:
过去需要收发芯片+隔离/光耦+隔离电源才能实现的隔离收发方案,现在仅需要一个隔离收发模块便可轻松实现
在单一控制器芯片上集成了CPU、图形引擎和各种接口,该方案用于仪表盘、导航等车载应用的不二之选
分享TE最新的《智能工厂白皮书》,揭示工业4.0时代如何实现智能可靠的连接
关注官方微信& X
大家都知道集成电路按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/模混合集成电路三大类。那么数字集成电路和模拟集成电路的主要应用功能区别是什么呢,我们就来了解一下吧。&&---
标签:数字集成电路和模拟集成电路的主要应用功能区别
数字集成电路和模拟集成电路的主要应用功能区别
大家都知道集成电路按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/模混合集成电路三大类。那么数字集成电路和模拟集成电路的主要应用功能区别是什么呢,我们就来了解一下吧。
数字集成电路
数字集成电路
数字集成电路是指基于布尔代数(又称开关代数或逻辑代数)理论,采用二进制计数进行数字计算和逻辑函数运算的一类IC。数字集成电路的输入、输出满足一定的逻辑关系,而基本的逻辑关系是&与&、&或&、&非&。通常数字集成电路由各种门电路和记忆元件等组成。数字集成电路又分为组合逻辑电路和时序逻辑电路两大类。在一个逻辑系统中,输出结果仅决定于当前各输入值,而与信号作用前电路的原状态无关的电路,称为组合逻辑电路。组合逻辑电路中不包含存储单元,没有记忆和存储功能。
在一个系统中,输出结果既由当前各输入值,又由过去的输入值来决定的电路,称为时序逻辑电路。它的一个或多个输出端与输入连接以产生正反馈。因为时序逻辑的输出依赖于过去的输入,所以它们必须包含有维持触发器、存储器等记忆或存储过去输入状态的元件。有两个稳定状态的元件能记忆一个二进制数或单位。电路的记忆量可用位数或内部状态数来确定。双稳态电路是时序电路的基础。锁存器和触发器是基本的时序逻辑电路。寄存器、计数器等都属于时序逻辑电路。
用一个专门的定时信号作输入(即时钟)对状态变量进行瞬时取样来控制时庠逻辑电路的动作,称为&同步&时序电路。没有专门定时信号的,称为&异步&时序电路。时序逻辑电路可用状态表和状态图来描述。状态图通常由状态表推出,更直观易读。对时序逻辑可表示为有反馈的组合逻辑。
模拟集成电路
模拟集成电路
模拟集成电路主要是指由电容、电阻、晶体管等组成的模拟电路集成在一起用来处理模拟信号的集成电路。有许多的模拟集成电路,如运算放大器、模拟乘法器、锁相环、电源管理芯片等。模拟集成电路的主要构成电路有:放大器、滤波器、反馈电路、基准源电路、开关电容电路等。模拟集成电路设计主要是通过有经验的设计师进行手动的电路调试,模拟而得到,与此相对应的数字集成电路设计大部分是通过使用硬件描述语言在EDA软件的控制下自动的综合产生。
模拟集成电路按处理信号的方式可分为线性集成电路和非线性集成电路两大类。线性集成电路或称线性放大器的输出与输入信号之间的响应通常呈线性关系,或者说输出的电波形和输入的电波形在形式上是相似的,只是放大了许多倍。非线性集成电路的输出信号对输入信号的响应呈现非线性关系,故称为非线性电路。非线性电路出现于线性放大器之后,如振荡器、定时器、四象限模拟乘法器、压控振荡器、锁相环电路等。
数字集成电路与模拟集成电路的区别
数字集成电路:主要是针对数字信号处理的模块。如;计算机里的2近制、8近制、10近制、16近制的数据进行处理的集成模块。数字集成电路的运行以开关状态经行运算,它的精度高适合复杂的计算。&
模拟集成电路:主要是针对模拟信号处理的模块。如;话筒里的声音信号,电视信号和VCD输出的图象信号、温度采集的模拟信号和其它模拟量的信号处理的集成模块。
模拟集成电路工作在晶体管的三角放大区。
(1)电路处理的是连续变化的模拟量电信号(即其幅值可以是任何值)。&
(2)信号的频范围往往从直流一直可以延伸到高频段。&
(3)模拟集成电路中的无器件种类多,除了数字集成电路中大量采用的NPN管及电阻外,还采用了PNP管,场效应晶体管,高精度电阻等。&
(4)除了应用于低电压电器中的电路处,大多数模拟集成电路的电源电压较高,输出级模拟集成电路的电源电压可达几十伏以上。&
(5)具有内繁外简的电路形式。充分发挥了集成电路的工艺特点和便于应用的特点。
小编就介绍到这了,其实数字集成电路主要是针对数字信号处理的模块。如计算机里的2近制、8近制、10近制的数据进行处理的集成模块。模拟集成电路主要是针对模拟信号处理的模块。如话筒里的声音信号。希望本文对大家认识数字集成电路和模拟集成电路的主要应用功能区别有所帮助。
浏览过本文的人还浏览了:
数字集成电路与模拟集成电路
/view/f7b777aad024271.html
数字电路和模拟电路的区别
/view/1dfae4d0bb4cf7ec4afed0db.html?edu_search=true
&&被下载次数 156次
大家都知道集成电路按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/模混合集成电路三大类。那么数字集成电路和模拟集成电路的主要应用功能区别是什么呢,我们就来了解一下吧。
同仁的电子信箱:&多个邮箱之间请用 | 隔开
您的电子信箱:
本文链接:
查看全部评论
有人回复时发邮件通知我
‘数字集成电路和模拟集成电路的主要应用功能区别’相关内容
开发者论坛
未经版权所有人明确的书面许可,不得以任何方式或媒体翻印或转载本网站的部分或全部内容。数字、模拟电路芯片的区别及其设计的前端和后端的分工区别?
按投票排序
看了上面诸位同仁的回答,感觉大部分回答这个问题的人都是搞模拟的,或者射频的,所以回答很有失偏颇。一句话总结上面很多位的言论,就是做数字的可以速成,不需要艰苦的知识积累,技术含量没有模拟高。模拟工程师越老越牛,数字工程师稍微不注意就被淘汰。Ok,we admit that,in some sense, the above point is true.
我们也承认,就基础课数电的好学和模电的难啃,就吓走一大批想要做模拟的人,还连带让学的好模拟的人人瞧不起做数字的人。不过我首先问大家一句 你懂什么是数字吗?君以为数字就单单是把电平看做离散的两种状态就行了了? 你以为数字集成电路就是逻辑运算?会算几个逻辑函数,混个硕士学位,再会编几句verilog vhdl啥的,就可以拍拍屁股去找那些‘门槛很低’的工作了? 如果你是这么想的,那你真的不懂数字。数字水不深?只有在中国这种相比于国际水平来说 数字电路发展极度落后的地方,才会出现这种观念。(我们需要承认中国模拟的技术先进程度在国际上看应该大概比中国数字强很多)。我们要承认在国内数字确实不容易出人头地。跟欧美(尤其是美国)相比,就凭那半个世纪之多的积淀,是我们从九十年代末到现在追十年就能追上的?亲们知不知道晶体管的发明者后来co-founding了英特尔?(换句话说集成电路就是人家发明的)。知不知道Intel IBM那些巨头一直引领着最先进的集成工艺的进步,而这些工艺可以商业化之后都会用在最先进的processor上?你知不知道英国剑桥的ARM连个foundry都没有,光卖自己的IP核 (说白了就一堆代码)就能跻身于世界IC设计的顶尖之列?你知不知道中国要搞个龙芯,还得用MIPS的架构?(为啥?mips便宜。 英特尔X86架构雄霸市场那么多年,早垄断了。AMD都得低头。你龙芯想用我X86架构吞我市场份额?好啊,先给我交份子钱先。而且是那种多到让你肉痛的份子钱)你知不知道三大EDA设计公司Cadence Synopsys和Mentor Graphics都是美国的?不管你做模拟做数字,只要你还做IC要用我最先进的工具和后续服务,拿钱来买license。 一句话总结,国内的Digital IC设计现状(自主研发上),从工艺到设计方法学,从业界到学界的人才,都是全面落后西方的。你就看看去年土生土长的国人在JSSC上发的只有寥寥两篇文章这可怜的数量就好了。就因为数字不论工艺还是设计还是人才都全面追不上西方,而且目前来看不太容易改变这个现状,所以不少国内有志青年 和耐得下性子苦修的人 都跑去搞模拟去了。也有很多朋友一开始选模拟的时候可能并不抵触数字,不过鉴于带自己的研究生导师就是做模拟的,也没别的太多选择就这么从了。还有部分童鞋没什么主见,人家让你做什么就做什么就被拐跑了。这也是数字人才的问题。数字博大精深,普通工程师都只是做整个流程的一小块,如同管中窥豹,模拟是有可能个人英雄主义的,个人可能出成果,也有可能技术入股去创业个人英雄主义一把,因为两者集成度的巨大差距摆在那里。 况且,数字流片一次成本太高。你模拟假设只用.35um的工艺留一次能多少个钱?而数字流片失败一次,从设计到流片至少一千万没了。一般人玩不起。这样才导致国内这样一种模拟至上的怪圈。===========以上观点是为了反驳本问最初的20多个回答 写于2013年底==============================================The meat is below===========================让我们现在坦诚的说 一个复杂电子系统中,数模缺一不可。但是数字永远是运算控制核心,模拟永远是外围辅助。模拟精深,数字是博大精深。模拟工程师工作在电路级别,有点像是传统的电子电气工程师 关心电路细微的细节和具体物理的实现,数字(前端)工程师理想情况下应该工作在架构/系统级别,对于芯片的性能有整体的认识和把握。数字IC设计 高强度 工艺更新速度快 需要知识覆盖面太广 而且片子集成度太高 所以必须需要团队战斗。如果一个工程师永远只做你那一小块,比如做数字前端的只会写vhdl,做数字后端的只会用Encounter做做place&route 那你可能也能混口饭吃,因为公司需要大量人手参与到辄集成度高达数十亿个晶体管的chip design的teamwork中。 可是你要想要做到精通,做到team leader,你需要精通前端到后端,设计到验证,下到器件物理上到计算机体系结构的通才。就算你不能了如指掌,原理也要都懂。一个高端数字工程师,一个真正懂Digital VLSI的行家, 先不说要掌握(或者了解)从前端到后端无穷无尽的EDA工具(其中有些license动不动需要公司花 $1million per capita去购买),也暂且不提各种各样的脚本和专用语言,就算他也不关心外围辅助的模拟电路具体怎么设计的和内部工作细节,他起码首先要对自己目前在做的产品的target technology,工艺,器件特性了如指掌,并且要对微架构和系统性能间的联系有深刻认识。比如,采用的工艺节点多少?22nm?65nm?平面工艺还是fin-FET?standard cell的延迟多少?最大fan-out要多大?互联带来多大寄生效应?时钟要多快?加法 乘法多久算完?微架构怎么定?流水线?多少stage?并行运算?功耗有限制没?成本控制多少?用市面上那些EDA工具?哪个flow?最后怎么进行验证保证流片一次成功?这些都是经验活。模拟IC设计,一般来讲工艺没有数字先进,主要就是集成度低。 我们知道模拟工程师入门门槛高,做好及其困难,而且在国外愿意做的人少,所以市场需求,给的价码极高。但是我们同样要注意,足够资深的数字工程师薪资绝对不会比同等资历的模拟工程师差,当你足够好的时候你只会赚的更多。前提你足够好。大规模数字集成电路,验证 前端 后端 流程 或者EDA工具开发。不管哪个 只要你做的足够好,薪酬是不愁的。虽然不同的方向天花板有高有低 在上海那些大外企比如NV AMD,当你摸到天花板的时候,年薪破百万有木有?(当然大部分童鞋离天花板好远就不做了,各种原因 大家脑补)最后再补充一句,任何活人的经验 只要它能被写成if。。。then 。。。elsif。。的形式,就一定能被计算机执行。任何活人能做出来的‘艺术’只要它还有那么一点点道理可循,就一定能被机械化的复制。电子设计自动化(EDA)的进步, 不管对于已经高度自动化的数字IC设计还是尚在起步的模拟自动IC 都是大势所趋。其实欧洲早在做数模混合的FPGA,而美国也早开始研究模拟设计的算法。这只是一个趋势,我并不是说模拟工程师会被取代 或者 模拟设计技术含量降低,(因为任何时候都需要有人工作在电路级,也需要人来全定制版图)而是说牛人到最后还是牛人,到时候还是平经验和智慧说话,所以需要平时不断积累 学习。anyway,诸君加油。
补充: 数字电路和模拟电路相差很大,某种意义上,可以说数字电路更类似软件,只关心0和1; 而模拟电路才类似传统意义上的电子电路,关心信号的强弱。 1,数字的前端很类似计算机软件,所以也分为写代码和做验证两个部分。设计的内容也是在读 协议、实现协议和验证协议的内容上。 数字的后端主要是对EDA设计工具的掌握。基本上就是个读工具、用工具和保证正确用工具的过程。 2,模拟电路的前端就是电路设计,主要是对各种模拟电路的应用上。考虑到工艺上的差异,这个在成本和性能的取舍很微妙。所以模拟的前端要很多经验积累。 模拟的后端,主要在版图上。对元器件的各种效应能了解,后端就能较好掌握。 员工要求,个人觉得不是差异很大。如果喜欢大而壮观的,可以选择数字;如果喜欢小而精细的,可以选择模拟。数字电路美国占优,日本很少;模拟电路日本也有一席之地,或许是这种体现。(这段只能参考了。)
抛开EDA,那是算法和软件的事情——虽然Cadence 和Synopsys 也卖IP;抛开验证,测试,产品方案,只谈设计本身。模拟射频乍看起来貌似高深,但是有多少人只是熟知了常用的名词,对背后的数学理论完全脑补无能的?数字上手虽快,RTL敲code 几乎是只会 if else then……就可以完成behavior,但到了系统级,又有几个敢说自己轻松搞定处理器体系结构的?什么做好都不容易。顺便说一句,雇佣市场上的行情只和你直接创造的价值相关,跟难不难没关系(反例请参照理论物理之流)。诸位脑补无能的,还不快去跳PR 的深坑!
能制造单片机的公司多得是,但是能做好AD或者电压基准芯片的公司就非常稀少了。这可以从一个侧面体现,创造和制造性能OK的模拟芯片有多难........
我第一次玩知乎。我现在做模拟电路不到两年。以我现在理解:模拟前端是点路了设计,就是用mos管,三极管,电容,电阻等来搭电路;模拟后端是版图layout,这个比较容易些,但是也很重要,好的版图工程师对电路的性能有很大的提高,面积,成本等都有帮助。对员工的要求,我觉得逻辑要清楚。模拟设计我个人觉得难度还是挺大的。
今天无聊,凑个热闹。从另外一个角度给大家一些启发。10+年以前,中芯国际刚刚成立,半导体行业在国内正火,被誉为直接用沙子印美钞的好行当。现在如何? 也是10+年以前,国内IC设计公司遍地开花,现在如何?从商业的角度看,加入一个未上市的或者几乎没有机会上市的IC公司(无论模拟还是数字),基本上你收入不可能有奇迹发生。IC公司这10多年的收入水平是线性下降的。不要说你已经是高管,你是技术大牛,这年头连老板都在找出路呢。究其原因,还是摩尔定律在起作用。未入行的,或者准备入行的,请一定仔细研究下摩尔定律先。如果你还不理解,有一个办法:你可以把你的手机拆掉,或者电脑拆掉,找一下看看这么复杂的东西都由几个公司提供的芯片,有几个数字芯片几个模拟芯片。
我还是个学生,只能说表面的普及下,深入了解还是邀请@谢丹、@刘竹溪和@Hugh Wang来回答吧。现在芯片设计一般还是指cmos工艺,当然还有其他的。数字电路芯片就是只把coms管当作开关,也即0和1,当然会有些器件本身寄生因素(电容电感电阻)的影响,但总体上不算功能的必要因素。所以cmos管可以大量采用相同“宽长比”(一种参数),相对模拟而言,集成度高,软件工具自动布局布线即可满足要求。而且设计起来可以利用Verilog等语言编程,相对容易上手,可移植性强,稳定性、可靠性高(只有0和1嘛),主流都是把能数字化的都数字化。而模拟芯片复杂的多,管子的各种寄生因素都要考虑(当然在初步估算时可以不考虑过多的寄生影响),尤其随着频率的提高,这些影响慢慢变得占有不可忽视的地位,本来电路图只需要一个管子,现在不得不加上电容电阻加以分析(射频段更为复杂)。所以集成度不高,人工要求高,布局布线也都依赖版图师的经验。不过世界环境啥的都是模拟量,所以模拟工程师还是不可取代地~至于前端后端,因为你问数字模拟区别,我不知道你对这行理解到底多少,希望我能说的简单明白。前端就当是设计,画电路图或者是写代码。后端就是把设计变成版图,就是实物真正出来的样子(芯片剖开里面好多层,知乎啥时能上图哇!!)我还没做过后端,不过以我的理解,数字模拟的后端大同小异,如上所述,模拟布局布线更依赖人。员工要求,模拟不单单需要很好的模拟集成电路功底(其实就是基本功,个人理解,它也就是个工具),还得对设计目标原理认识深刻(不然做不出东西哇),还得熟悉cadence这套工具,我学这么久总是觉得怎么还有这么多没接触过的东西要学...挺考验知识面的。数字嘛,verilog啥的硬件语言必要的,那个虽然是编程,如果对底层认识不深刻也就是垃圾代码,和c语言啥的完全不是一个概念。还有时序约束...Synopsys也是要命的软件工具,对了,这两种软件基本都运行在Linux系统下,所以... 吐槽一句,我都怀疑我能不能毕业...
本人做过若干小系统的archi,偏模拟。先回答问题,简单说,数字电路是以电平01作为载体,模拟则是多种多样的,可以是电压电流也可以是频率相位甚至电荷。复杂的话就可以说几本书了。个人觉得两者在分工或者流程上来说,最大的不同是数字电路分工更加细化标准化。作为数电从业者,可以选择abstract behaviour modeling verification p &r,工具上的选择也更多,发展也更专业深入。而模电,虽然以cadence 为代表的公司一直努力提高eda自动化模块化的水平,但是更本设计理念还是一直局限在设计,仿真,layout。顺便吐槽那个ic6的parametric analysis这种局限性体现在软件上,也体现在对从业者的要求上,模电更需要设计人员的全面和经验。而数电的大部分员工更专注于自己的一块。尤其是现在soc以及c to verilog的发展,使得更多非电路背景的人,可以参与系统的初始算法等等。当然现在的实际或者趋势就是mixed signal 以及大综合。毕竟数字离不开模拟,模拟离了数字也难有销路。对于排名第一的回答,有一些小意见。不能简单的说数电更复杂,流片成本更高,就造成国内这块更加落后。微电子这块,欠账甚多,而这一行靠的就是积累,不管模电数电,没有一次次的失败哪有最后的成功。从工艺到设计到综合到封装测试,莫不如此。什么更难,可以以欧美最近的流片要求,多少pin 多少频率多少功耗 就禁止出口。一看就是fpga通用cpu告诉adda以及rf模块。这里有模拟也有数字。jssc和isscc,基本上处理器很小一块-另人欣喜的是国内团队开始出成绩了-,其他基本adcsocrfbio四分天下。当然以jsscisscc来评价也不公平,很多算法都跑到computing去了。综上所述,前途都是光明的,选择都是多样的,只要你喜欢这个就能做好。不过如果要赚快钱,出门右转找it,营销。
我属于回答者的大多数,从事模拟研究和工作的那一类。模拟和数字只是把集成电路划分成了两个比较小的部分而已,若硬是要争个优劣,感觉就和硬件与软件一样,颇为无趣。模拟电路是偏深度的,需要去抠基本的半导体物理;而数字电路则偏广度,不会去抠细节,而要深究系统架构。前者好比登山,看起来蛮难的,的确深奥,但也就那回事;后者则如航海,看似风和日丽,待见大浪滔天,才发觉很难到彼岸。第一的那篇回复,感觉矫枉过正了,尤其是那一串的反问。论及学术,国内数字和模拟在ISSCC,TCAS,VLSI,等都有不少的文章。JSSCC侧重理论,收集的文章多是Analog和RF,拿来参考有失偏颇。总的来说,就学术层面,国内的设计这块(模拟和数字)以及工艺,感觉水平正在逐步提高。谈及应用,国人的处理器情结太严重了,好像只有处理器才能代表集成电路的水平而已(PS,ISSCC上 国内中的还多是处理器)。集成PA,Transceiver等,这些不也是需要攻克的难点么。他们的流片费用也不低:前者GaAs工艺,后者也到40nm了,几个片上电感够放很多的数字电路了。谈就业,博士无论模拟还是数字都好说,还是说一下苦逼的小硕吧,反倒是模拟(和RF)就业比较难写,数字稍好,虽不及软件。最后补充一点,模拟能做的事,的确是逐步被数字领域蚕食,这主要是多亏EDA工具的发展(也就是软件)。但要知道,全定制电路永远是最后被蚕食的,好比RF,好比高性能乘除法器,对然也只是时间的问题。这么一说,要想不被淘汰,只有去从事软件了……貌似扯远了。
你去水木发表这观点会被拍死的。就好比几年前软件圈流行说什么搞kernel/driver的比搞php/java门槛高前途好一样。结果后者去互联网赚钱去了,前者在苦逼半导体讨饭吃
数字电路芯片是处理数字信号的模拟电路芯片是处理模拟信号的两者仅仅是所处理的信号类型不同而已数字前端可以看作是实现数字电路逻辑数字后端包括 floorplanning, place & route 甚至逻辑门的实现模拟不熟但是我所做过的只是画模拟电路版图也许前端是设计模拟电路的各种参数后端是把参数画出来..PS我个人认为数字电路的坑在于前端的算法实现和后端的验证之前毕设在 NXP 做数字电路的导师经常说这些搞算法的怎么总想些不好实现的东西..模拟电路的坑在于高频模拟电路的仿真结果和实际测试的偏差 (听做 RF 的人说的)PPS我认为虽然模拟是数字的基础但是模拟并不比数字高大上而且我也不同意做不下去模拟的才做数字还有这句话背后的逻辑很值得推敲&& 你们这些搞数字的,不被模拟虐久了,好像自信又回来了。&&类比一下的话这些做模拟的还不是被做工艺的虐 ?这些做工艺的还不是被做物理的虐 ?更惨的是这些做 computer science 的竟然被做数字电路的虐?还有做建筑设计师的竟然被造砖的虐?说大一点 人类之所以能发展到现在都靠使用前人的知识和技能并且为后人留下知识和技能前人的知识技能和当前人的知识和技能并不是单纯的谁虐谁的关系而是一个继承和发扬的关系不同学科之间也不存在谁虐谁关注的问题不同而已
作为一个挣扎在模拟IC混混界两年多的人来说,如果不是真的喜欢模拟,真是痛苦得一×啊。在国内,模拟的需求量相对小得多,一个一般的数字工程师可以有个体面的工作,一个一般的模拟工程师可能连口饭都混不到。当然我是连一般的水平都没有了,只能换个界混。
前面回答很详细。以我个人的经验,数字电路:更standard和自动化;模拟电路基本就是RF段Layout会成为很重要的衡量设计者水平的指标。做Analog/RF这个方向的,基本就是要多年的时间和积累,就像打后期,要持续不断的farm堆装备成型。过程艰辛,但是成功了就是翻盘利器;做数字的,成型快,对时间积累和经验要求没有那么苛刻,难度也不高。就像是高爆发的法师。缺点就是高爆发的太多,竞争的时候一个不小心就被秒。各取所好吧。
理解数字电路和模拟电路可以从信号的特点入手。数字信号是离散的,也就是大家说的0和1,在时钟的制约下,每一时钟周期内的电平是一定的,数字电路就是为了处理这类信号而设计的。由于其处理的信号单元简单,如1L所说,稳定性很高,只需要保证在每个管子处理的电平在阈值范围内就可以算作逻辑正确,因此对寄生的参数也没有那么敏感,容易实现高集成度和自动化设计。模拟信号则不同了,它在时间上是连续的。因此,对于模拟信号的精度的要求是高于数字信号的。一种典型的模拟信号既是手机所需要接受和发送的射频信号,在高频下,由于Z=1/jwC,寄生电容的影响会被放大,所以在设计模拟电路,尤其是高频电路时,MOS管寄生电容的影响会很重要。在线宽越来越小的今天,MOS管的各种短沟道效应会越来越显著,同时,由于布局布线造成的耦合也会越来越明显,这些限制造成了模拟电路的面积远远大于数字电路。设计时需要严格调整宽长比,理解各种trade off,也无形中让模拟电路的layout成为了一门艺术。目前有一些教授也在尝试实现模拟电路的CAD,或者通过大数据来进行辅助设计,但是仅仅处于研究阶段。数字前端基本是行为级,RTL级或更高级的设计,虽说硬件描述语言是个好东西,但是好的数字前端设计师在码代码的时候心中仍然想的是电路,并且对时序的把握真的很重要。后端是layout,需要更多的考虑物理层面的影响,但与模拟不同,数字的layout大量依靠软件,毕竟其管子的数量之多已不是人力所能及了。
模电是body,数电是brain
你们这些搞数字的,不被模拟虐久了,好像自信又回来了。首先要说明的是,模电那本书,在模拟这一块,顶多顶多算个入门级别的。单纯就难度而言,模拟跟数字完全不是一个量级的。数字完全可以自学成才。模拟没有一个懂的人带入行,靠自己摸索基本上没有戏,除非你天资特别好,百里挑一。比如我们学校,那些搞电子设计的,都是搞模拟搞不下去了搞数字,而且很多都搞的不错,从来没见过搞数字搞不下去了能搞的了模拟的。模拟为什么难,不是因为模拟电路本身有多复杂,而是模拟处理的对象是实际的板子,任何实际因素都会对板子的性能造成很大影响。如果你处理的对象是个黑盒的话,对数字而言,黑盒的输入是已知的,而模拟,很多因素是未知的。如果你的东西出了问题,很多时候根本就不知道是什么因素造成的。因为实际世界中,影响板子的因素太多,所以做模拟最重要的就是一个建模的能力,要把握影响板子性能的主要因素,建模之后,要进行定性的计算,看看工程裕量够不够,不要想着这个很简单,比如算一个开关电源的输出噪声,要对整版的分布参数进行建模,怎么不大概影响精度的情况下简化模型,是非常考验一个人的理论基础和工程经验的。还有像脉冲干扰、工模噪声这种。还有我给你们说一个秘密,所有有水平的模拟工程师都告诉你说模拟靠的是经验,那是骗你玩的,他们做一个产品,基本上要算半个演草本,不仅主要指标会算,那几个公式高中生都学得会,算的是那种看起来很空虚的指标,像串扰这种,当然是不会叫你看见的,怕你要学。一个合格的模拟工程师,手算就能把产品的指标算个大概。任何系统,只要规模大了,都很难做,及时很简单的东西,你用几百个几千个组合起来,配合工作,也非常难做,大规模数字电路肯定也很难,但一个讽刺的事实却是,在大规模集成电路排版上,搞片上电磁兼容的,都不是做数字的,国内稍微上规模的集成电路,数字上都综合的出来,但要流片测性能,不知道多少都栽在这上面了。另外模拟跟实际电路打交道,不可避免的设计到很多工艺东西:PCB制程,批产性,贴装工艺,可靠性分析,高温失效分析,机加,电镀,封装,应力~~~~一堆烂七八糟的。至于模拟会遇到什么稀奇古怪的问题,我可以在这里举一个例子,前几天一个功放,在静态、一般工作时都正常,在饱和时,会出现微弱的寄生调幅。大家觉得是怎么出来的呢?这种东西是可以建模,可以算的,而且按计算进行改进,就将这个调幅消除了。还有今天刚碰见的,检波器常温高温正常,低温受到强烈干扰。这些也都是能大概算出来的。还有做振荡器,有时候不是出来一个点频,出来的是一大片频谱,这个原因跟第一个有点类似。
仔细看完前面回答,真是受教。数字和模拟之间的关系区别应该说的很清楚了我是学射频模拟,以前听老师听前辈们说模拟电路是艺术,现在也是慢慢有所感受。数字方面学的不多 不敢乱说。按我粗浅理解 模拟可以看成是真实世界和数字逻辑之间的一个接口或是桥梁。数字则是处理从这个接口得到的信息并做出反应二者缺一不可。模拟数字的学习过程艰难与否 待遇孰优孰劣在我看来 其实也不是那么重要 都是为了认识这个世界 然后做出改变也还有是有些地方 努力就会有所收获。引用前面一楼 Anyway 诸君加油。
这两种芯片的区别,前端后端的分工不同,前面这几位已经说的很明白了,我就说说我对员工要求这个问题的理解吧。我自己是学模拟的,马上就硕士毕业了,学位是在美国一个很一般很一般的学校读的。虽然学校一般,但学校里做模拟电路的老师挺多,也有一些牛人,所以接触过几次,也交流过一些看法。我们学校模拟电路最牛的老师应该是Dr. Yun Chiu了,他是UC berkeley的Ph.D,UCLA的MS,中科大的BS,在Berkeley的时候师从模拟电路的泰山北斗级人物Paul Gray大神(想必做模拟的没有不知道的吧)。他跟我说过,一个好的数字设计者一般需要2年到3年的training就足够了(硕士学位),但模拟至少都需要5到6年(博士学位),当时话语中透着那么点儿对数字的鄙视(不代表本人观点)。这点从美国这边找工作的情况也可以看出来,模拟方向大多都招Ph.D,而数字方向找到工作的硕士不在少数。从找工作的难度就可见一斑。说的不好听一点,数字方向除了前端写Verilog或VHDL代码很有技术含量之外(代码的好赖决定了Layout的面积,芯片的功耗等等),后端全部都是软件在做,不是那么的有技术含量,工作的门槛自然也就低了。我身边就有同学是在国内做数字后端的,做了2年觉得没意思就出来学模拟了。模拟电路就不同,设计方面需要人有非常扎实的电路分析功底,后端Layout也不像数字那样可以用现成的standard cell用软件完成,而是完全依靠工程师的经验画Layout。总体来说,员工要求这方面我个人觉得模拟电路对员工的要求相比数字电路要更高一些。大家有不同意见的话,欢迎讨论=。=
看了楼上的一些前辈对模拟数字的深入讨论,学生这里也发表下自己的理解,仅限个人观点。我算是接触过一段时间的模拟,因为老师方向是模拟,可是进入实验室一段时间后好大部分时间是在做小的数字板级系统,所以后来就索性直接转到数字。起初我也觉得数字简单,可是当我做过敲代码,协议优化,综合,画版图等一系列基础后,结合自身对板级系统的一些简单理解,现在的做好数字真的不容易。有人说写写代码,学学工具,这就是数字电路设计,这恐怕太片面了。模拟的确有深度,可是数字何尝没有深度,我做过rfid,为什么同样的功能有人能做到500门就搞定了,可是有人得5000门,同样是功耗,为什么有人做20uw,有人做1个uw,这差距是什么概念,说数字靠工具,同样的工具不同的人用差别就这么大。做不好模拟的人,也未必做得好数字,会敲几句代码,会跑两边DC就叫数字吗?做数字的不是不能转模拟,数字的眼界宽广,思维更活跃,又何必去专模拟那个尖。看见了深林又何必抱着一根大树。从根本说起,又有几人出发点不是想多赚钱,既然是想多赚钱,又何必用语言去评价数字门槛低。适合什么做的精通一点就好了
有机化学与无机化学的区别}

我要回帖

更多关于 数字电路模拟软件 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信