verilog中wire和reg 中定义信号为什么要区分 wire 和 reg 两种类型

为什么在verilog中要定义wire?_百度知道
该问题可能描述不清,建议你
为什么在verilog中要定义wire?
两者差别很大,完全不能取消。在Verilog中,wire永远是wire,就是相当于一条连线,用来连接电路,不能存储数据,无驱动能力,是组合逻辑,只能在assign左侧赋值,不能在always @ 中赋值;但reg可以综合成register,latch,甚至wire(当其只是中间变量的时候),可以用于组合逻辑或者时序逻辑,能存储数据,有驱动能力,在always @模块表达式左侧被赋值。两个共同具有性质:都能用于assign与always @模块表达式的右侧。
电测网络专家
..)。元件例化时候的输出必须用wire例如:ram
u_ram(.。所以你尝试着用always语句对wire变量赋值。综合器就会报错。那么你可能会问。assign c =a&&b不是就是对wire的赋值吗?其实并非如此..assign and_result =a&&b;你可以试试把wire定义成reg。综合器会报错。第二有几种情况变量需要定义成wire。只做连线,wire本身是不带逻辑性的,所以输入什么输出就是什么。第一。assign 语句例如:reg
a..。综合器综合时将a&&b综合成ab经过一个与门。而c只是连接到与门输出的线。正真综合出与门的是&&。而不是c..;wire按照国外的教材上面的定义:wire为无逻辑连线.out(dout);wire and_result,b
本回答被提问者采纳
这个,wire通俗的理解就是线,难道你在设计电路的时候不需要用线将各个设计模块或者设计模块的内部信号连接起来~????
totga高手啊
其他1条回答
为您推荐:
其他类似问题
您可能关注的内容
verilog的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。他的最新文章
他的热门文章
您举报文章:
举报原因:
原文地址:
原因补充:
(最多只允许输入30个字)他的最新文章
他的热门文章
您举报文章:
举报原因:
原文地址:
原因补充:
(最多只允许输入30个字)verilog中reg和wire类型的区别和用法_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
verilog中reg和wire类型的区别和用法
你可能喜欢135被浏览27,966分享邀请回答1添加评论分享收藏感谢收起}

我要回帖

更多关于 wire reg 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信