怎么的单片机数码管动态显示一位数码管输入0xbe就可以显示1

 上传我的文档
 下载
 收藏
粉丝量:17
该文档贡献者很忙,什么也没留下。
 下载此文档
单片机课设实验报告简易4位计算器设计
下载积分:800
内容提示:单片机课设实验报告简易4位计算器设计
文档格式:DOC|
浏览次数:135|
上传日期: 02:27:21|
文档星级:
全文阅读已结束,如果下载本文需要使用
 800 积分
下载此文档
该用户还上传了这些文档
单片机课设实验报告简易4位计算器设计
关注微信公众号单片机矩阵键盘,,,为何我的程序一进来数码管就显示乱码呢?
按时间排序
试试看:void main(){
temp = P3;
temp = temp & 0xf0;
if(temp != 0xf0)
temp = P3;
temp = temp & 0xf0;
if(temp != 0xf0)
switch(temp) {
case 0xe0: num = 1;
case 0xd0: num = 2;
case 0xb0: num = 3;
case 0x70: num = 4;
P0 = table[num - 1];
while(temp != 255)
temp = P3;
你的位选送入是同样的数据,那当然是显示同样的数,你要分清楚位选,是怎么样位选,这样你就可以想那个显示什么数字都可以了。
你的P2口,你想要用独立按键或是矩阵按键,都要写捡测是否有键按下,这个你应该是知道的了,在这里,你的P2口打开,你这样写不是很好,你写的格式,要分好点,不然到你检查的时候就很难检查得到,还有你这里没有去抖,当按键按下时,会出错,
P2 = TestKeyC
ScanKeyCode = 4*i + 1;
else if(!P22)
ScanKeyCode = 4*i + 2;
else if(!P21)
ScanKeyCode = 4*i + 3;
else if(!P20)
ScanKeyCode = 4*i + 4;
具体是什么错误
1.位定义最好用有意义的英文(哪怕拼音也好),方便检查和阅读2.矩阵键盘的扫描有这么几种:行列扫描,反转扫描,中断扫描;按下时要去抖,功能实现一般要等键按完(弹起来)后才去实现功能3.数码管的动态扫描的程序设计你没有掌握,而且动态扫描还要注意消影4.数码管的动态扫描配合键盘时,要注意闪烁问题,解决闪烁有两个方法:第一个方法:键盘消抖不用延时函数,而用数码管动态扫描函数第二个方法:用中断的方法每2ms调用一次数码管动态扫描函数,因为中断不受按键影响#include&reg52.h&#define uchar unsigned char#define uint unsigned int#define DataPort P0#define KeyPort P3sbit dula=P2^2;//段选sbit wela=P2^1;//位选uchar tempData[8];uchar code DuanMa[]=
//段码{ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71};uchar code WeiMa[]=
//位码{ 0xfe,0xfd,0xfb,0xf7, 0xef,0xdf,0xbf,0x7f};void delay(uint z){ uint x,y; for(x=z;x&0;x--)
for(y=110;y&0;y--);}void display(uchar firstBit,uchar disCount){ wela=1;//为了消影 DataPort=0 wela=0; dula=1; DataPort=tempData[i]; dula=0; DataPort=0//为了消影 wela=1; DataPort=WeiMa[i+firstBit]; wela=0;
i++; if(i==disCount)
i=0;}uchar keyscan(){ KeyPort=0//采用行列扫描 if((KeyPort&0xf0)!=0xf0) {
delay(10);//消抖
if((KeyPort&0xf0)!=0xf0)
value=KeyP
while((KeyPort&0xf0)!=0xf0);
} } KeyPort=0 if((KeyPort&0xf0)!=0xf0) {
delay(10);
if((KeyPort&0xf0)!=0xf0)
value=KeyP
while((KeyPort&0xf0)!=0xf0);
} } KeyPort=0 if((KeyPort&0xf0)!=0xf0) {
delay(10);
if((KeyPort&0xf0)!=0xf0)
value=KeyP
while((KeyPort&0xf0)!=0xf0);
} } KeyPort=0xf7; if((KeyPort&0xf0)!=0xf0) {
delay(10);
if((KeyPort&0xf0)!=0xf0)
value=KeyP
while((KeyPort&0xf0)!=0xf0);
} } return 0}unsigned char KeyPro(void){
switch(keyscan())
case 0x7e:return 0;//0 按下相应的键显示相对应的码值
case 0x7d:return 1;//1
case 0x7b:return 2;//2
case 0x77:return 3;//3
case 0xbe:return 4;//4
case 0xbd:return 5;//5
case 0xbb:return 6;//6
case 0xb7:return 7;//7
case 0xde:return 8;//8
case 0xdd:return 9;//9
case 0xdb:return 10;//a
case 0xd7:return 11;//b
case 0xee:return 12;//c
case 0xed:return 13;//d
case 0xeb:return 14;//e
case 0xe7:return 15;//f
default:return 0
}}void init(){
TMOD |= 0x01;
//使用模式1,16位定时器,使用&|&符号可以在使用多个定时器时不受影响
TH0=()/256;
//重新赋值 2ms
TL0=()%256;
//总中断打开
//定时器中断打开
//定时器开关打开 }void main(){
uchar num,i,j; init(); while(1) {
num=KeyPro();
if(num!=0xff)
tempData[i]=DuanMa[num];
for(j=0;j&8;j++)
tempData[j]=0;
} }}void timer0(void) interrupt 1 {
TH0=()/256;
//重新赋值 2ms
TL0=()%256;
display(0,8);
// 调用数码管扫描}
1,程序改一下,beep一直响几百ms,不要给波形void Beep(){BEEP=1;delay_ms(10000);BEEP=0;}2,npn三极管接法改动e极接GND,c极接蜂鸣器负极,蜂鸣器正极接电源3,没有调用beep();
switch(P1)
//假如某行按下去,四列中是不是有o出现
case 0x0e:
i=0; beep();
//
第一列有按键按下去
case 0x0d:
i=1; beep();
//
case 0x0b:
i=2;beep();
//
case 0x07:
i=3; beep();
//
//
switch(P1)
case 0xe0:
i=i+0;beep();
//
第一行有按键按下去
case 0xd0:
i=i+4;beep();
//
case 0xb0:
i=i+8;beep();
//
case 0x70:
i=i+12;beep();
//
#include&reg51.h&#defineuchar distab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};
//0到fvoid delay(unsigned int a){ unsigned int i,j; for(i=0;i&a;i++)
for(j=0;j&120;j++);}uchar kbscan(void){ unsigned char sccode, P1=0x0f;
//发0扫描,列线输入 if ((P2 & 0x0f) != 0x0f)
//有键按下 {
delay(20);
//延时去抖动
if ((P1&0x0f)!= 0x0f)
sccode = 0
//逐行扫描初值
while((sccode&0x01)!=0)
if((P1&0x0f)!=0x0f)
recode=(P1&0x0f)|0xf0;
while((P1&0x0f)!=0x0f);//等待键抬起
return((~sccode)+(~recode));
sccode=(sccode&&1)|0x01;
} } return 0;
//无键按下,返回0}uchar getkey(void){ unsigned char key,keyval=0 key=kbscan();
switch(key)
case 0x11:keyval=1;
case 0x12:keyval=2;
case 0x14:keyval=3;
case 0x18:keyval=10; //+
case 0x21:keyval=4;
case 0x22:keyval=5;
case 0x24:keyval=6;
case 0x28:keyval=11; //-
case 0x41:keyval=7;
case 0x42:keyval=8;
case 0x44:keyval=9;
case 0x48:keyval=12; //清除
case 0x81:keyval=13;
case 0x82:keyval=0;
case 0x84:keyval=14; //小数点
case 0x88:keyval=15; //确认
default:keyval=16;
return(keyval);}main(){
keyin=getkey();
P0=distab[keyin];
感谢您为社区的和谐贡献力量请选择举报类型
经过核实后将会做出处理感谢您为社区和谐做出贡献
确定要取消此次报名,退出该活动?
请输入私信内容:51单片机经典实验
1. 闪烁灯 1.  实验任务 如图4.1.1所示:在P1.0端口上接一个发光二极管L1,使L1在不停地一亮一灭,一亮一灭的时间间隔为0.2秒。 2.  电路原理图 图4.1.1 3.  系统板上硬件连线 把“单片机系统”区域中的P1.0端口用导线连接到“八路发光二极管指示模块”区域中的L1端口上。 4.  程序设计内容 (1). 延时程序的设计方法 作为单片机的指令的执行的时间是很短,数量大微秒级,因此,我们要求的闪烁时间间隔为0.2秒,相对于微秒来说,相差太大,所以我们在执行某一指令时,插入延时程序,来达到我们的要求,但这样的延时程序是如何设计呢?下面具体介绍其原理:如图4.1.1所示的石英晶体为12MHz,因此,1个机器周期为1微秒 机器周期 微秒 MOVR6,#20 2个机器周期  2 D1: MOV R7,#248 2个机器周期  2       2+2×248=498 20× DJNZ R7,$ 2个机器周期  2×248            498 DJNZR6,D1 2个机器周期   2×20=40         10002 因此,上面的延时程序时间为10.002ms。 由以上可知,当R6=10、R7=248时,延时5ms,R6=20、R7=248时,延时10ms,以此为基本的计时单位。如本实验要求0.2秒=200ms,10ms×R5=200ms,则R5=20,延时子程序如下: DELAY: MOV R5,#20D1: MOV R6,#20D2: MOV R7,#248DJNZ R7,$DJNZ R6,D2DJNZ R5,D1RET (2). 输出控制 如图1所示,当P1.0端口输出高电平,即P1.0=1时,根据发光二极管的单向导电性可知,这时发光二极管L1熄灭;当P1.0端口输出低电平,即P1.0=0时,发光二极管L1亮;我们可以使用SETB P1.0指令使P1.0端口输出高电平,使用CLR P1.0指令使P1.0端口输出低电平。 5. 程序框图    如图4.1.2所示                图4.1.2 6. 汇编源程序ORG 0START: CLR P1.0LCALL DELAYSETB P1.0LCALL DELAYLJMP STARTDELAY: MOV R5,#20 ;延时子程序,延时0.2秒D1: MOV R6,#20D2: MOV R7,#248DJNZ R7,$DJNZ R6,D2DJNZ R5,D1RETEND7. C语言源程序#include &AT89X51.H&sbit L1=P1^0;void delay02s(void) //延时0.2秒子程序{unsigned char i,j,k;for(i=20;i&0;i--)for(j=20;j&0;j--)for(k=248;k&0;k--);}void main(void){while(1){L1=0;delay02s();L1=1;delay02s();}} 2. 模拟开关灯 1. 实验任务 如图4.2.1所示,监视开关K1(接在P3.0端口上),用发光二极管L1(接在单片机P1.0端口上)显示开关状态,如果开关合上,L1亮,开关打开,L1熄灭。 2. 电路原理图图4.2.1 3. 系统板上硬件连线 (1).把“单片机系统”区域中的P1.0端口用导线连接到“八路发光二极管指示模块”区域中的L1端口上; (2).把“单片机系统”区域中的P3.0端口用导线连接到“四路拨动开关”区域中的K1端口上; 4. 程序设计内容 (1).开关状态的检测过程 单片机对开关状态的检测相对于单片机来说,是从单片机的P3.0端口输入信号,而输入的信号只有高电平和低电平两种,当拨开开关K1拨上去,即输入高电平,相当开关断开,当拨动开关K1拨下去,即输入低电平,相当开关闭合。单片机可以采用JB BIT,REL或者是JNB BIT,REL指令来完成对开关状态的检测即可。 (2).输出控制 如图3所示,当P1.0端口输出高电平,即P1.0=1时,根据发光二极管的单向导电性可知,这时发光二极管L1熄灭;当P1.0端口输出低电平,即P1.0=0时,发光二极管L1亮;我们可以使用SETB P1.0指令使P1.0端口输出高电平,使用CLR P1.0指令使P1.0端口输出低电平。 5. 程序框图 图4.2.2 6. 汇编源程序ORG 00HSTART: JB P3.0,LIGCLR P1.0SJMP STARTLIG: SETB P1.0SJMP STARTEND 7. C语言源程序#include &AT89X51.H&sbit K1=P3^0;sbit L1=P1^0;void main(void){while(1){if(K1==0){L1=0; //灯亮}else{L1=1; //灯灭}}}
3. 多路开关状态指示 1. 实验任务 如图4.3.1所示,AT89S51单片机的P1.0-P1.3接四个发光二极管L1-L4,P1.4-P1.7接了四个开关K1-K4,编程将开关的状态反映到发光二极管上。(开关闭合,对应的灯亮,开关断开,对应的灯灭)。 2. 电路原理图 图4.3.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P1.0-P1.3用导线连接到“八路发光二极管指示模块”区域中的L1-L4端口上; (2. 把“单片机系统”区域中的P1.4-P1.7用导线连接到“四路拨动开关”区域中的K1-K4端口上; 4. 程序设计内容 (1. 开关状态检测 对于开关状态检测,相对单片机来说,是输入关系,我们可轮流检测每个开关状态,根据每个开关的状态让相应的发光二极管指示,可以采用JB P1.X,REL或JNB P1.X,REL指令来完成;也可以一次性检测四路开关状态,然后让其指示,可以采用MOV A,P1指令一次把P1端口的状态全部读入,然后取高4位的状态来指示。 (2. 输出控制 根据开关的状态,由发光二极管L1-L4来指示,我们可以用SETB P1.X和CLR P1.X指令来完成,也可以采用MOV P1,#1111XXXXB方法一次指示。 5. 程序框图   读P1口数据到ACC中 ACC内容右移4次
ACC内容与F0H相或
ACC内容送入P1口
&![endif]--& 图4.3.2 6. 方法一(汇编源程序)ORG 00HSTART: MOV A,P1ANL A,#0F0HRR ARR ARR ARR AORl A,#0F0HMOV P1,ASJMP STARTEND7. 方法一(C语言源程序)#include &AT89X51.H&void main(void){while(1){temp=P1&&4;temp=temp | 0xf0;P1=}}8. 方法二(汇编源程序)ORG 00HSTART: JB P1.4,NEXT1CLR P1.0SJMP NEX1NEXT1: SETB P1.0NEX1: JB P1.5,NEXT2CLR P1.1SJMP NEX2NEXT2: SETB P1.1NEX2: JB P1.6,NEXT3CLR P1.2SJMP NEX3NEXT3: SETB P1.2NEX3: JB P1.7,NEXT4CLR P1.3SJMP NEX4NEXT4: SETB P1.3NEX4: SJMP STARTEND9. 方法二(C语言源程序)#include &AT89X51.H&void main(void){while(1){if(P1_4==0){P1_0=0;}else{P1_0=1;}if(P1_5==0){P1_1=0;}else{P1_1=1;}if(P1_6==0){P1_2=0;}else{P1_2=1;}if(P1_7==0){P1_3=0;}else{P1_3=1;}}}
4. 广告灯的左移右移 1. 实验任务 做单一灯的左移右移,硬件电路如图4.4.1所示,八个发光二极管L1-L8分别接在单片机的P1.0-P1.7接口上,输出“0”时,发光二极管亮,开始时P1.0→P1.1→P1.2→P1.3→┅→P1.7→P1.6→┅→P1.0亮,重复循环。 2. 电路原理图 图4.4.1 3. 系统板上硬件连线 把“单片机系统”区域中的P1.0-P1.7用8芯排线连接到“八路发光二极管指示模块”区域中的L1-L8端口上,要求:P1.0对应着L1,P1.1对应着L2,……,P1.7对应着L8。 4. 程序设计内容 我们可以运用输出端口指令MOV P1,A或MOV P1,#DATA,只要给累加器值或常数值,然后执行上述的指令,即可达到输出控制的动作。 每次送出的数据是不同,具体的数据如下表1所示 :P1.7
L8亮 表15.程序框图            
图4.4.26. 汇编源程序ORG 0START: MOV R2,#8MOV A,#0FEHSETB CLOOP: MOV P1,ALCALL DELAYRLC ADJNZ R2,LOOPMOV R2,#8LOOP1: MOV P1,ALCALL DELAYRRC ADJNZ R2,LOOP1LJMP STARTDELAY: MOV R5,#20 ;D1: MOV R6,#20D2: MOV R7,#248DJNZ R7,$DJNZ R6,D2DJNZ R5,D1RETEND7. C语言源程序#include &AT89X51.H&unsigned char a,b;void delay(void){unsigned char m,n,s;for(m=20;m&0;m--)for(n=20;n&0;n--)for(s=248;s&0;s--);}void main(void){while(1){temp=0P1=delay();for(i=1;i&8;i++){a=temp&&i;b=temp&&(8-i);P1=a|b;delay();}for(i=1;i&8;i++){a=temp&&i;b=temp&&(8-i);P1=a|b;delay();}}}
5. 广告灯(利用取表方式) 1. 实验任务 利用取表的方法,使端口P1做单一灯的变化:左移2次,右移2次,闪烁2次(延时的时间0.2秒)。 2. 电路原理图 图4.5.1 3. 系统板上硬件连线   把“单片机系统”区域中的P1.0-P1.7用8芯排线连接到“八路发光二极管指示模块”区域中的L1-L8端口上,要求:P1.0对应着L1,P1.1对应着L2,……,P1.7对应着L8。 4. 程序设计内容 在用表格进行程序设计的时候,要用以下的指令来完成 (1). 利用MOV DPTR,#DATA16的指令来使数据指针寄存器指到表的开头。 (2). 利用MOVC A,@A+DPTR的指令,根据累加器的值再加上DPTR的值,就可以使程序计数器PC指到表格内所要取出的数据。 因此,只要把控制码建成一个表,而利用MOVC A,@A+DPTR做取码的操作,就可方便地处理一些复杂的控制动作,取表过程如下图所示: 5. 程序框图                       图4.5.2 6. 汇编源程序ORG 0START: MOV DPTR,#TABLELOOP: CLR AMOVC A,@A+DPTRCJNE A,#01H,LOOP1JMP STARTLOOP1: MOV P1,AMOV R3,#20LCALL DELAYINC DPTRJMP LOOPDELAY: MOV R4,#20D1: MOV R5,#248DJNZ R5,$DJNZ R4,D1DJNZ R3,DELAYRETTABLE: DB 0FEH,0FDH,0FBH,0F7HDB 0EFH,0DFH,0BFH,07FHDB 0FEH,0FDH,0FBH,0F7HDB 0EFH,0DFH,0BFH,07FHDB 07FH,0BFH,0DFH,0EFHDB 0F7H,0FBH,0FDH,0FEHDB 07FH,0BFH,0DFH,0EFHDB 0F7H,0FBH,0FDH,0FEHDB 00H, 0FFH,00H, 0FFHDB 01HEND7. C语言源程序#include &AT89X51.H&unsigned char code table[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f,0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f,0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0x00,0xff,0x00,0xff,0x01};void delay(void){unsigned char m,n,s;for(m=20;m&0;m--)for(n=20;n&0;n--)for(s=248;s&0;s--);}void main(void){while(1){if(table[i]!=0x01){P1=table[i];i++;delay();}else{i=0;}}}
6. 报警产生器 1. 实验任务 用P1.0输出1KHz和500Hz的音频信号驱动扬声器,作报警信号,要求1KHz信号响100ms,500Hz信号响200ms,交替进行,P1.7接一开关进行控制,当开关合上响报警信号,当开关断开告警信号停止,编出程序。 2. 电路原理图 图4.6.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P1.0端口用导线连接到“音频放大模块”区域中的SPK IN端口上; (2. 在“音频放大模块”区域中的SPK OUT端口上接上一个8欧的或者是16欧的喇叭; (3. 把“单片机系统”区域中的P1.7/RD端口用导线连接到“四路拨动开关”区域中的K1端口上; 4. 程序设计内容 (1. 信号产生的方法 500Hz信号周期为2ms,信号电平为每1ms变反1次,1KHz的信号周期为1ms,信号电平每500us变反1次;   5. 程序框图 图4.6.2 6. 汇编源程序FLAG BIT 00HORG 00HSTART: JB P1.7,STARTJNB FLAG,NEXTMOV R2,#200DV: CPL P1.0LCALL DELY500LCALL DELY500DJNZ R2,DVCPL FLAGNEXT: MOV R2,#200DV1: CPL P1.0LCALL DELY500DJNZ R2,DV1CPL FLAGSJMP STARTDELY500: MOV R7,#250LOOP: NOPDJNZ R7,LOOPRETEND7. C语言源程序#include &AT89X51.H&#include &INTRINS.H&void dely500(void){for(i=250;i&0;i--){_nop_();}}void main(void){while(1){if(P1_7==0){for(count=200;count&0;count--){P1_0=~P1_0;dely500();}for(count=200;count&0;count--){P1_0=~P1_0;dely500();dely500();}}}7. I/O并行口直接驱动LED显示 1. 实验任务 如图13所示,利用AT89S51单片机的P0端口的P0.0-P0.7连接到一个共阴数码管的a-h的笔段上,数码管的公共端接地。在数码管上循环显示0-9数字,时间间隔0.2秒。 2. 电路原理图 图4.7.1 3. 系统板上硬件连线 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个数码管的a-h端口上;要求:P0.0/AD0与a相连,P0.1/AD1与b相连,P0.2/AD2与c相连,……,P0.7/AD7与h相连。 4. 程序设计内容 (1. LED数码显示原理 七段LED显示器内部由七个条形发光二极管和一个小圆点发光二极管组成,根据各管的极管的接线形式,可分成共阴极型和共阳极型。 LED数码管的g~a七个发光二极管因加正电压而发亮,因加零电压而不以发亮,不同亮暗的组合就能形成不同的字形,这种组合称之为字形码,下面给出共阴极的字形码见表2 “0”
  (2. 由于显示的数字0-9的字形码没有规律可循,只能采用查表的方式来完成我们所需的要求了。这样我们按着数字0-9的顺序,把每个数字的笔段代码按顺序排好!建立的表格如下所示:TABLE DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH 5.程序框图                 图4.7.26. 汇编源程序ORG 0START: MOV R1,#00HNEXT: MOV A,R1MOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,ALCALL DELAYINC R1CJNE R1,#10,NEXTLJMP STARTDELAY: MOV R5,#20D2: MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1DJNZ R5,D2RETTABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND7. C语言源程序#include &AT89X51.H&unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};unsvoid delay02s(void){unsigned char i,j,k;for(i=20;i&0;i--)for(j=20;j&0;j--)for(k=248;k&0;k--);}void main(void){while(1){for(dispcount=0;dispcount&10;dispcount++){P0=table[dispcount];delay02s();}}} 8. 按键识别方法之一 1. 实验任务 每按下一次开关SP1,计数值加1,通过AT89S51单片机的P1端口的P1.0到P1.3显示出其的二进制计数值。 2. 电路原理图 图4.8.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P3.7/RD端口连接到“独立式键盘”区域中的SP1端口上; (2. 把“单片机系统”区域中的P1.0-P1.4端口用8芯排线连接到“八路发光二极管指示模块”区域中的“L1-L8”端口上;要求,P1.0连接到L1,P1.1连接到L2,P1.2连接到L3,P1.3连接到L4上。 4. 程序设计方法 (1. 其实,作为一个按键从没有按下到按下以及释放是一个完整的过程,也就是说,当我们按下一个按键时,总希望某个命令只执行一次,而在按键按下的过程中,不要有干扰进来,因为,在按下的过程中,一旦有干扰过来,可能造成误触发过程,这并不是我们所想要的。因此在按键按下的时候,
图4.8.2要把我们手上的干扰信号以及按键的机械接触等干扰信号给滤除掉,一般情况下,我们可以采用电容来滤除掉这些干扰信号,但实际上,会增加硬件成本及硬件电路的体积,这是我们不希望,总得有个办法解决这个问题,因此我们可以采用软件滤波的方法去除这些干扰信号,一般情况下,一个按键按下的时候,总是在按下的时刻存在着一定的干扰信号,按下之后就基本上进入了稳定的状态。具体的一个按键从按下到释放的全过程的信号图如上图所示: 从图中可以看出,我们在程序设计时,从按键被识别按下之后,延时5ms以上,从而避开了干扰信号区域,我们再来检测一次,看按键是否真得已经按下,若真得已经按下,这时肯定输出为低电平,若这时检测到的是高电平,证明刚才是由于干扰信号引起的误触发,CPU就认为是误触发信号而舍弃这次的按键识别过程。从而提高了系统的可靠性。 由于要求每按下一次,命令被执行一次,直到下一次再按下的时候,再执行一次命令,因此从按键被识别出来之后,我们就可以执行这次的命令,所以要有一个等待按键释放的过程,显然释放的过程,就是使其恢复成高电平状态。 (1. 对于按键识别的指令,我们依然选择如下指令JB BIT,REL指令是用来检测BIT是否为高电平,若BIT=1,则程序转向REL处执行程序,否则就继续向下执行程序。或者是 JNB BIT,REL指令是用来检测BIT是否为低电平,若BIT=0,则程序转向REL处执行程序,否则就继续向下执行程序。 (2. 但对程序设计过程中按键识别过程的框图如右图所示:                 图4.8.35. 程序框图 图4.8.46.汇编源程序ORG 0START: MOV R1,#00H ;初始化R1为0,表示从0开始计数MOV A,R1 ;CPL A ;取反指令MOV P1,A ;送出P1端口由发光二极管显示REL: JNB P3.7,REL ;判断SP1是否按下LCALL DELAY10MS ;若按下,则延时10ms左右JNB P3.7,REL ;再判断SP1是否真得按下INC R1 ;若真得按下,则进行按键处理,使MOV A,R1 ;计数内容加1,并送出P1端口由CPL A ;发光二极管显示MOV P1,A ;JNB P3.7,$ ;等待SP1释放SJMP REL ;继续对K1按键扫描DELAY10MS: MOV R6,#20 ;延时10ms子程序L1: MOV R7,#248DJNZ R7,$DJNZ R6,L1RETEND7. C语言源程序#include &reg52.h&sbit P3_7=P3^7; void delay10ms(void){unsigned char i,j,k,l;for(i=20;i&0;i--)for(j=20;j&0;j--)for(k=20;k&0;k--)for(l=248;l&0;l--);}//25*0.2MS=5ms
void main(void){while(1){if(P3_7==0){delay10ms();
if(P3_7==0){count++;if(count==16){count=0;}P1=~while(P3_7==0);}}}}9. 一键多功能按键识别技术 1.实验任务 如图4.9.1所示,开关SP1接在P3.7/RD管脚上,在AT89S51单片机的P1端口接有四个发光二极管,上电的时候,L1接在P1.0管脚上的发光二极管在闪烁,当每一次按下开关SP1的时候,L2接在P1.1管脚上的发光二极管在闪烁,再按下开关SP1的时候,L3接在P1.2管脚上的发光二极管在闪烁,再按下开关SP1的时候,L4接在P1.3管脚上的发光二极管在闪烁,再按下开关SP1的时候,又轮到L1在闪烁了,如此轮流下去。 2.电路原理图 图4.9.1 3.系统板上硬件连线 (1. 把“单片机系统”区域中的P3.7/RD端口连接到“独立式键盘”区域中的SP1端口上; (2. 把“单片机系统”区域中的P1.0-P1.4端口用8芯排线连接到“八路发光二极管指示模块”区域中的“L1-L8”端口上;要求,P1.0连接到L1,P1.1连接到L2,P1.2连接到L3,P1.3连接到L4上。 4.程序设计方法 (1. 设计思想由来 在我们生活中,我们很容易通过这个叫张三,那个叫李四,另外一个是王五;那是因为每个人有不同的名子,我们就很快认出,同样,对于要通过一个按键来识别每种不同的功能,我们给每个不同的功能模块用不同的ID号标识,这样,每按下一次按键,ID的值是不相同的,所以单片机就很容易识别不同功能的身份了。 (2. 设计方法 从上面的要求我们可以看出,L1到L4发光二极管在每个时刻的闪烁的时间是受开关SP1来控制,我们给L1到L4闪烁的时段定义出不同的ID号,当L1在闪烁时,ID=0;当L2在闪烁时,ID=1;当L3在闪烁时,ID=2;当L4在闪烁时,ID=3;很显然,只要每次按下开关K1时,分别给出不同的ID号我们就能够完成上面的任务了。下面给出有关程序设计的框图。 5.程序框图                      
图4.9.26. 汇编源程序ID EQU 30HSP1 BIT P3.7L1 BIT P1.0L2 BIT P1.1L3 BIT P1.2L4 BIT P1.3ORG 0MOV ID,#00HSTART: JB K1,RELLCALL DELAY10MSJB K1,RELINC IDMOV A,IDCJNE A,#04,RELMOV ID,#00HREL: JNB K1,$MOV A,IDCJNE A,#00H,IS0CPL L1LCALL DELAYSJMP STARTIS0: CJNE A,#01H,IS1CPL L2LCALL DELAYSJMP STARTIS1: CJNE A,#02H,IS2CPL L3LCALL DELAYSJMP STARTIS2: CJNE A,#03H,IS3CPL L4LCALL DELAYSJMP STARTIS3: LJMP STARTDELAY10MS: MOV R6,#20LOOP1: MOV R7,#248DJNZ R7,$DJNZ R6,LOOP1RETDELAY: MOV R5,#20LOOP2: LCALL DELAY10MSDJNZ R5,LOOP2RETEND7. C语言源程序#include &AT89X51.H&unsigned char ID;void delay10ms(void){unsigned char i,j;for(i=20;i&0;i--)for(j=248;j&0;j--);}void delay02s(void){for(i=20;i&0;i--){delay10ms();}}void main(void){ while(1){ if(P3_7==0){delay10ms();if(P3_7==0){ID++;if(ID==4){ID=0;}while(P3_7==0);}}switch(ID){ case 0:P1_0=~P1_0;delay02s();case 1:P1_1=~P1_1;delay02s();case 2:P1_2=~P1_2;delay02s();case 3:P1_3=~P1_3;delay02s();}}} 10. 00-99计数器 1. 实验任务 利用AT89S51单片机来制作一个手动计数器,在AT89S51单片机的P3.7管脚接一个轻触开关,作为手动计数的按钮,用单片机的P2.0-P2.7接一个共阴数码管,作为00-99计数的个位数显示,用单片机的P0.0-P0.7接一个共阴数码管,作为00-99计数的十位数显示;硬件电路图如图19所示。 2. 电路原理图 图4.10.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P0.0/AD0对应着a,P0.1/AD1对应着b,……,P0.7/AD7对应着h。 (2. 把“单片机系统”区域中的P2.0/A8-P2.7/A15端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个数码管的a-h端口上; (3. 把“单片机系统”区域中的P3.7/RD端口用导线连接到“独立式键盘”区域中的SP1端口上; 4. 程序设计内容 (1. 单片机对按键的识别的过程处理 (2. 单片机对正确识别的按键进行计数,计数满时,又从零开始计数; (3. 单片机对计的数值要进行数码显示,计得的数是十进数,含有十位和个位,我们要把十位和个位拆开分别送出这样的十位和个位数值到对应的数码管上显示。如何拆开十位和个位我们可以把所计得的数值对10求余,即可得个位数字,对10整除,即可得到十位数字了。 (4. 通过查表方式,分别显示出个位和十位数字。 5.程序框图               图4.10.2 6. 汇编源程序Count EQU 30HSP1 BIT P3.7ORG 0START: MOV Count,#00HNEXT: MOV A,CountMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOVC A,@A+DPTRMOV P2,AWT: JNB SP1,WTWAIT: JB SP1,WAITLCALL DELY10MSJB SP1,WAITINC CountMOV A,CountCJNE A,#100,NEXTLJMP STARTDELY10MS: MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETTABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND7. C语言源程序#include &AT89X51.H&unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};unsigned char Cvoid delay10ms(void){unsigned char i,j;for(i=20;i&0;i--)for(j=248;j&0;j--);}void main(void){Count=0;P0=table[Count/10];P2=table[Count%10];while(1){if(P3_7==0){delay10ms();if(P3_7==0){Count++;if(Count==100){Count=0;}P0=table[Count/10];P2=table[Count%10];while(P3_7==0);}}}} 11. 00-59秒计时器(利用软件延时) 1. 实验任务   如下图所示,在AT89S51单片机的P0和P2端口分别接有两个共阴数码管,P0口驱动显示秒时间的十位,而P2口驱动显示秒时间的个位。 2. 电路原理图 图4.11.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P0.0/AD0对应着a,P0.1/AD1对应着b,……,P0.7/AD7对应着h。 (2. 把“单片机系统”区域中的P2.0/A8-P2.7/A15端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P2.0/A8对应着a,P2.1/A9对应着b,……,P2.7/A15对应着h。 4. 程序设计内容 (1. 在设计过程中我们用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,重新秒计数。 (2. 对于秒计数单元中的数据要把它十位数和个数分开,方法仍采用对10整除和对10求余。 (3. 在数码上显示,仍通过查表的方式完成。 (4. 一秒时间的产生在这里我们采用软件精确延时的方法来完成,经过精确计算得到1秒时间为1.002秒。 DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,D2 RET 5. 程序框图                
图4.11.26. 汇编源程序Second EQU 30HORG 0START: MOV Second,#00HNEXT: MOV A,SecondMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOVC A,@A+DPTRMOV P2,ALCALL DELY1SINC SecondMOV A,SecondCJNE A,#60,NEXTLJMP STARTDELY1S: MOV R5,#100D2: MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1DJNZ R5,D2RETTABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND7. C语言源程序#include &AT89X51.H&unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};unsigned char Svoid delay1s(void){unsigned char i,j,k;for(k=100;k&0;k--)for(i=20;i&0;i--)for(j=248;j&0;j--);}void main(void){Second=0;P0=table[Second/10];P2=table[Second%10];while(1){delay1s();Second++;if(Second==60){Second=0;}P0=table[Second/10];P2=table[Second%10];}} 12. 可预置可逆4位计数器 1. 实验任务 利用AT89S51单片机的P1.0-P1.3接四个发光二极管L1-L4,用来指示当前计数的数据;用P1.4-P1.7作为预置数据的输入端,接四个拨动开关K1-K4,用P3.6/WR和P3.7/RD端口接两个轻触开关,用来作加计数和减计数开关。具体的电路原理图如下图所示 2. 电路原理图 图4.12.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P1.0-P1.3端口用8芯排线连接到“八路发光二极管指示模块”区域中的L1-L4上;要求:P1.0对应着L1,P1.1对应着L2,P1.2对应着L3,P1.3对应着L4; (2. 把“单片机系统”区域中的P3.0/RXD,P3.1/TXD,P3.2/INT0,P3.3/INT1用导线连接到“四路拨动开关”区域中的K1-K4上; (3. 把“单片机系统”区域中的P3.6/WR,P3.7/RD用导线连接到“独立式键盘”区域中的SP1和SP2上; 4. 程序设计内容 (1. 两个独立式按键识别的处理过程; (2. 预置初值读取的问题 (3. LED输出指示 5. 程序框图                         图4.12.2 6. 汇编源程序COUNT EQU 30HORG 00HSTART: MOV A,P3ANL A,#0FHMOV COUNT,AMOV P1,ASK2: JB P3.6,SK1LCALL DELY10MSJB P3.6,SK1INC COUNTMOV A,COUNTCJNE A,#16,NEXTMOV A,P3ANL A,#0FHMOV COUNT,ANEXT: MOV P1,AWAIT: JNB P3.6,WAITLJMP SK2SK1: JB P3.7,SK2LCALL DELY10MSJB P3.7,SK2DEC COUNTMOV A,COUNTCJNE A,#0FFH,NEXMOV A,P3ANL A,#0FHMOV COUNT,ANEX: MOV P1,AWAIT2: JNB P3.7,WAIT2LJMP SK2DELY10MS: MOV R6,#20MOV R7,#248D1: DJNZ R7,$DJNZ R6,D1RETEND7. C语言源程序#include &AT89X51.H&unvoid delay10ms(void){unsigned char i,j;for(i=20;i&0;i--)for(j=248;j&0;j--);}void main(void){curcount=P3 & 0x0f;P1=~while(1){if(P3_6==0){delay10ms();if(P3_6==0){if(curcount&=15){curcount=15;}else{curcount++;}P1=~while(P3_6==0);}}if(P3_7==0){delay10ms();if(P3_7==0){if(curcount&=0){curcount=0;}else{curcount--;}P1=~while(P3_7==0);}}}} 13. 动态数码显示技术 1. 实验任务 如图4.13.1所示,P0端口接动态数码管的字形码笔段,P2端口接动态数码管的数位选择端,P1.7接一个开关,当开关接高电平时,显示“12345”字样;当开关接低电平时,显示“HELLO”字样。 2. 电路原理图 图4.13.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7用8芯排线连接到“动态数码显示”区域中的a-h端口上; (2. 把“单片机系统”区域中的P2.0/A8-P2.7/A15用8芯排线连接到“动态数码显示”区域中的S1-S8端口上; (3. 把“单片机系统”区域中的P1.7端口用导线连接到“独立式键盘”区域中的SP1端口上; 4. 程序设计内容 (1. 动态扫描方法 动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人眼的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完成各数码管的轮流点亮(数位选择)。 (2. 在进行数码显示的时候,要对显示单元开辟8个显示缓冲区,每个显示缓冲区装有显示的不同数据即可。 (3. 对于显示的字形码数据我们采用查表方法来完成。 5. 程序框图 图4.13.2 6. 汇编源程序ORG 00HSTART: JB P1.7,DIR1MOV DPTR,#TABLE1SJMP DIRDIR1: MOV DPTR,#TABLE2DIR: MOV R0,#00HMOV R1,#01HNEXT: MOV A,R0MOVC A,@A+DPTRMOV P0,AMOV A,R1MOV P2,ALCALL DAYINC R0RL AMOV R1,ACJNE R1,#0DFH,NEXTSJMP STARTDAY: MOV R6,#4D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETTABLE1: DB 06H,5BH,4FH,66H,6DHTABLE2: DB 78H,79H,38H,38H,3FHEND7. C语言源程序#include &AT89X51.H&unsigned char code table1[]={0x06,0x5b,0x4f,0x66,0x6d};unsigned char code table2[]={0x78,0x79,0x38,0x38,0x3f};unsigned char a,b;void main(void){while(1){temp=0for(i=0;i&5;i++){if(P1_7==1){P0=table1[i];}else{P0=table2[i];}P2=a=temp&&(i+1);b=temp&&(7-i);temp=a|b;     for(a=4;a&0;a--)for(b=248;b&0;b--);}}
14. 4×4矩阵式键盘识别技术 1. 实验任务 如图4.14.2所示,用AT89S51的并行口P1接4×4矩阵键盘,以P1.0-P1.3作输入线,以P1.4-P1.7作输出线;在数码管上显示每个按键的“0-F”序号。对应的按键的序号排列如图4.14.1所示 图4.14.1 2. 硬件电路原理图 图4.14.2 3. 系统板上硬件连线 (1. 把“单片机系统“区域中的P3.0-P3.7端口用8芯排线连接到“4X4行列式键盘”区域中的C1-C4 R1-R4端口上; (2. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P0.0/AD0对应着a,P0.1/AD1对应着b,……,P0.7/AD7对应着h。 4. 程序设计内容 (1. 4×4矩阵键盘识别处理 (2. 每个按键有它的行值和列值 ,行值和列值的组合就是识别这个按键的编码。矩阵的行线和列线分别通过两并行接口和CPU通信。每个按键的状态同样需变成数字量“0”和“1”,开关的一端(列线)通过电阻接VCC,而接地是通过程序输出数字“0”实现的。键盘处理程序的任务是:确定有无键按下,判断哪一个键按下,键的功能是什么;还要消除按键在闭合或断开时的抖动。两个并行口中,一个输出扫描码,使按键逐行动态接地,另一个并行口输入按键状态,由行扫描值和回馈信号共同形成键编码而识别按键,通过软件查表,查出该键的功能。 5. 程序框图 图4.14.3 6. 汇编源程序KEYBUF EQU 30HORG 00HSTART: MOV KEYBUF,#2WAIT:MOV P3,#0FFHCLR P3.4MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY1LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY1MOV A,P3ANL A,#0FHCJNE A,#0EH,NK1MOV KEYBUF,#0LJMP DK1NK1: CJNE A,#0DH,NK2MOV KEYBUF,#1LJMP DK1NK2: CJNE A,#0BH,NK3MOV KEYBUF,#2LJMP DK1NK3: CJNE A,#07H,NK4MOV KEYBUF,#3LJMP DK1NK4: NOPDK1:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,ADK1A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK1ANOKEY1:MOV P3,#0FFHCLR P3.5MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2MOV A,P3ANL A,#0FHCJNE A,#0EH,NK5MOV KEYBUF,#4LJMP DK2NK5: CJNE A,#0DH,NK6MOV KEYBUF,#5LJMP DK2NK6: CJNE A,#0BH,NK7MOV KEYBUF,#6LJMP DK2NK7: CJNE A,#07H,NK8MOV KEYBUF,#7LJMP DK2NK8: NOPDK2:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,ADK2A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK2ANOKEY2:MOV P3,#0FFHCLR P3.6MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3MOV A,P3ANL A,#0FHCJNE A,#0EH,NK9MOV KEYBUF,#8LJMP DK3NK9: CJNE A,#0DH,NK10MOV KEYBUF,#9LJMP DK3NK10: CJNE A,#0BH,NK11MOV KEYBUF,#10LJMP DK3NK11: CJNE A,#07H,NK12MOV KEYBUF,#11LJMP DK3NK12: NOPDK3:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,ADK3A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK3ANOKEY3:MOV P3,#0FFHCLR P3.7MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4MOV A,P3ANL A,#0FHCJNE A,#0EH,NK13MOV KEYBUF,#12LJMP DK4NK13: CJNE A,#0DH,NK14MOV KEYBUF,#13LJMP DK4NK14: CJNE A,#0BH,NK15MOV KEYBUF,#14LJMP DK4NK15: CJNE A,#07H,NK16MOV KEYBUF,#15LJMP DK4NK16: NOPDK4:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,ADK4A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK4ANOKEY4:LJMP WAITDELY10MS:MOV R6,#10D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETTABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07HDB 7FH,6FH,77H,7CH,39H,5EH,79H,71HEND7. C语言源程序#include &AT89X51.H&unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};unsigned char i,j;void main(void){while(1){P3=0P3_4=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=7;case 0x0d:key=8;case 0x0b:key=9;case 0x07:key=10;}temp=P3;P1_0=~P1_0;P0=table[key];temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}}}P3=0P3_5=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=4;case 0x0d:key=5;case 0x0b:key=6;case 0x07:key=11;}temp=P3;P1_0=~P1_0;P0=table[key];temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}}}P3=0P3_6=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=1;case 0x0d:key=2;case 0x0b:key=3;case 0x07:key=12;}temp=P3;P1_0=~P1_0;P0=table[key];temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}}}P3=0P3_7=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=0;case 0x0d:key=13;case 0x0b:key=14;case 0x07:key=15;}temp=P3;P1_0=~P1_0;P0=table[key];temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}}}}} 15. 定时计数器T0作定时应用技术(一) 1. 实验任务 用AT89S51单片机的定时/计数器T0产生一秒的定时时间,作为秒计数时间,当一秒产生时,秒计数加1,秒计数到60时,自动从0开始。硬件电路如下图所示2. 电路原理图图4.15.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P0.0/AD0对应着a,P0.1/AD1对应着b,……,P0.7/AD7对应着h。 (2. 把“单片机系统”区域中的P2.0/A8-P2.7/A15端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P2.0/A8对应着a,P2.1/A9对应着b,……,P2.7/A15对应着h。 4. 程序设计内容 AT89S51单片机的内部16位定时/计数器是一个可编程定时/计数器,它既可以工作在13位定时方式,也可以工作在16位定时方式和8位定时方式。只要通过设置特殊功能寄存器TMOD,即可完成。定时/计数器何时工作也是通过软件来设定TCON特殊功能寄存器来完成的。 现在我们选择16位定时工作方式,对于T0来说,最大定时也只有65536us,即65.536ms,无法达到我们所需要的1秒的定时,因此,我们必须通过软件来处理这个问题,假设我们取T0的最大定时为50ms,即要定时1秒需要经过20次的50ms的定时。对于这20次我们就可以采用软件的方法来统计了。 因此,我们设定TMOD=B,即TMOD=01H 下面我们要给T0定时/计数器的TH0,TL0装入预置初值,通过下面的公式可以计算出 TH0=(216-50000) / 256 TL0=(216-50000) MOD 256 当T0在工作的时候,我们如何得知50ms的定时时间已到,这回我们通过检测TCON特殊功能寄存器中的TF0标志位,如果TF0=1表示定时时间已到。 5.程序框图                         图4.15.2 6. 汇编源程序(查询法)SECOND EQU 30HTCOUNT EQU 31HORG 00HSTART: MOV SECOND,#00HMOV TCOUNT,#00HMOV TMOD,#01HMOV TH0,#() / 256MOV TL0,#() MOD 256SETB TR0DISP: MOV A,SECONDMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOVC A,@A+DPTRMOV P2,AWAIT: JNB TF0,WAITCLR TF0MOV TH0,#() / 256MOV TL0,#() MOD 256INC TCOUNTMOV A,TCOUNTCJNE A,#20,NEXTMOV TCOUNT,#00HINC SECONDMOV A,SECONDCJNE A,#60,NEXMOV SECOND,#00HNEX: LJMP DISPNEXT: LJMP WAITTABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND7. C语言源程序(查询法)#include &AT89X51.H&unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};void main(void){TMOD=0x01;TH0=()/256;TL0=()%256;TR0=1;tcount=0;second=0;P0=dispcode[second/10];P2=dispcode[second%10];while(1){if(TF0==1){tcount++;if(tcount==20){tcount=0;second++;if(second==60){second=0;}P0=dispcode[second/10];P2=dispcode[second%10];}TF0=0;TH0=()/256;TL0=()%256;}}}1. 汇编源程序(中断法)SECOND EQU 30HTCOUNT EQU 31HORG 00HLJMP STARTORG 0BHLJMP INT0XSTART: MOV SECOND,#00HMOV A,SECONDMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOVC A,@A+DPTRMOV P2,AMOV TCOUNT,#00HMOV TMOD,#01HMOV TH0,#() / 256MOV TL0,#() MOD 256SETB TR0SETB ET0SETB EASJMP $INT0X:MOV TH0,#() / 256MOV TL0,#() MOD 256INC TCOUNTMOV A,TCOUNTCJNE A,#20,NEXTMOV TCOUNT,#00HINC SECONDMOV A,SECONDCJNE A,#60,NEXMOV SECOND,#00HNEX: MOV A,SECONDMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOVC A,@A+DPTRMOV P2,ANEXT: RETITABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND2. C语言源程序(中断法)#include &AT89X51.H&unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};void main(void){TMOD=0x01;TH0=()/256;TL0=()%256;TR0=1;ET0=1;EA=1;tcount=0;second=0;P0=dispcode[second/10];P2=dispcode[second%10];while(1);}void t0(void) interrupt 1 using 0{tcount++;if(tcount==20){tcount=0;second++;if(second==60){second=0;}P0=dispcode[second/10];P2=dispcode[second%10];}TH0=()/256;TL0=()%256;} 16. 定时计数器T0作定时应用技术(二) 1. 实验任务 用AT89S51的定时/计数器T0产生2秒钟的定时,每当2秒定时到来时,更换指示灯闪烁,每个指示闪烁的频率为0.2秒,也就是说,开始L1指示灯以0.2秒的速率闪烁,当2秒定时到来之后,L2开始以0.2秒的速率闪烁,如此循环下去。0.2秒的闪烁速率也由定时/计数器T0来完成。 2. 电路原理图 图4.16.1 3. 系统板硬件连线 (1. 把“单片机系统”区域中的P1.0-P1.3用导线连接到“八路发光二极管指示模块”区域中的L1-L4上 4. 程序设计内容 (1. 由于采用中断方式来完成,因此,对于中断源必须它的中断入口地址,对于定时/计数器T0来说,中断入口地址为000BH,因此在中断入口地方加入长跳转指令来执行中断服务程序。书写汇编源程序格式如下所示:ORG 00HLJMP STARTORG 0BH定时/计数器T0中断入口地址LJMP INT_T0START: NOP主程序开始.. INT_T0: PUSH ACC定时/计数器T0中断服务程序PUSH PSW..POP PSWPOP ACCRETI中断服务程序返回END (2. 定时2秒,采用16位定时50ms,共定时40次才可达到2秒,每50ms产生一中断,定时的40次数在中断服务程序中完成,同样0.2秒的定时,需要4次才可达到0.2秒。对于中断程序,在主程序中要对中断开中断。 (3. 由于每次2秒定时到时,L1-L4要交替闪烁。采用ID来号来识别。当ID=0时,L1在闪烁,当ID=1时,L2在闪烁;当ID=2时,L3在闪烁;当ID=3时,L4在闪烁 5. 程序框图   T0中断服务程序框图 主程序框图 图4.16.2  6. 汇编源程序 6. 汇编源程序TCOUNT2S EQU 30HTCNT02S EQU 31HID EQU 32HORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV TCOUNT2S,#00HMOV TCNT02S,#00HMOV ID,#00HMOV TMOD,#01HMOV TH0,#() / 256MOV TL0,#() MOD 256SETB TR0SETB ET0SETB EASJMP $INT_T0: MOV TH0,#() / 256MOV TL0,#() MOD 256INC TCOUNT2SMOV A,TCOUNT2SCJNE A,#40,NEXTMOV TCOUNT2S,#00HINC IDMOV A,IDCJNE A,#04H,NEXTMOV ID,#00HNEXT: INC TCNT02SMOV A,TCNT02SCJNE A,#4,DONEMOV TCNT02S,#00HMOV A,IDCJNE A,#00H,SID1CPL P1.0SJMP DONESID1: CJNE A,#01H,SID2CPL P1.1SJMP DONESID2: CJNE A,#02H,SID3CPL P1.2SJMP DONESID3: CJNE A,#03H,SID4CPL P1.3SID4: SJMP DONEDONE: RETIEND7. C语言源程序#include &AT89X51.H&unsigned char tcount2s;unsigned char tcount02s;unsigned char ID;void main(void){TMOD=0x01;TH0=()/256;TL0=()%256;TR0=1;ET0=1;EA=1;while(1);}void t0(void) interrupt 1 using 0{tcount2s++;if(tcount2s==40){tcount2s=0;ID++;if(ID==4){ID=0;}}tcount02s++;if(tcount02s==4){tcount02s=0;switch(ID){case 0:P1_0=~P1_0;case 1:P1_1=~P1_1;case 2:P1_2=~P1_2;case 3:P1_3=~P1_3;}}} 17. 99秒马表设计1. 实验任务(1. 开始时,显示“00”,第1次按下SP1后就开始计时。(2. 第2次按SP1后,计时停止。(3. 第3次按SP1后,计时归零。2. 电路原理图 图4.17.13. 系统板上硬件连线(1. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P0.0/AD0对应着a,P0.1/AD1对应着b,……,P0.7/AD7对应着h。 (2. 把“单片机系统”区域中的P2.0/A8-P2.7/A15端口用8芯排线连接到“四路静态数码显示模块”区域中的任一个a-h端口上;要求:P2.0/A8对应着a,P2.1/A9对应着b,……,P2.7/A15对应着h。 (3. 把“单片机系统“区域中的P3.5/T1用导线连接到”独立式键盘“区域中的SP1端口上; 4. 程序框图主程序框图 T0中断服务程序框图 图4.17.2 5. 汇编源程序TCNTA EQU 30HTCNTB EQU 31HSEC EQU 32HKEYCNT EQU 33HSP1 BIT P3.5ORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV KEYCNT,#00HMOV SEC,#00HMOV A,SECMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P2,AMOV TMOD,#02HSETB ET0SETB EAWT: JB SP1,WTLCALL DELY10MSJB SP1,WTINC KEYCNTMOV A,KEYCNTCJNE A,#01H,KN1SETB TR0MOV TH0,#06HMOV TL0,#06HMOV TCNTA,#00HMOV TCNTB,#00HLJMP DKNKN1: CJNE A,#02H,KN2CLR TR0LJMP DKNKN2: CJNE A,#03H,DKNMOV SEC,#00HMOV A,SECMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P2,AMOV KEYCNT,#00HDKN: JNB SP1,$LJMP WTDELY10MS:MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETINT_T0:INC TCNTAMOV A,TCNTACJNE A,#100,NEXTMOV TCNTA,#00HINC TCNTBMOV A,TCNTBCJNE A,#4,NEXTMOV TCNTB,#00HINC SECMOV A,SECCJNE A,#100,DONEMOV SEC,#00HDONE: MOV A,SECMOV B,#10DIV ABMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,BMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P2,ANEXT: RETITABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHEND6. C语言源程序#include &AT89X51.H&unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};void main(void){unsigned char i,j;TMOD=0x02;ET0=1;EA=1;second=0;P0=dispcode[second/10];P2=dispcode[second%10];while(1){if(P3_5==0){for(i=20;i&0;i--)for(j=248;j&0;j--);if(P3_5==0){keycnt++;switch(keycnt){case 1:TH0=0x06;TL0=0x06;TR0=1;case 2:TR0=0;case 3:keycnt=0;second=0;P0=dispcode[second/10];P2=dispcode[second%10];}while(P3_5==0);}}}}void t0(void) interrupt 1 using 0{tcnt++;if(tcnt==400){tcnt=0;second++;if(second==100){second=0;}P0=dispcode[second/10];P2=dispcode[second%10];}}18. “嘀、嘀、……”报警声 1. 实验任务 用AT89S51单片机产生“嘀、嘀、…”报警声从P1.0端口输出,产生频率为1KHz,根据上面图可知:1KHZ方波从P1.0输出0.2秒,接着0.2秒从P1.0输出电平信号,如此循环下去,就形成我们所需的报警声了。 2. 电路原理图 图4.18.1 3. 系统板硬件连线 (1. 把“单片机系统”区域中的P1.0端口用导线连接到“音频放大模块”区域中的SPK IN端口上, (2. 在“音频放大模块”区域中的SPK OUT端口上接上一个8欧或者是16欧的喇叭; 4. 程序设计方法 (1.生活中我们常常到各种各样的报警声,例如“嘀、嘀、…”就是常见的一种声音报警声,但对于这种报警声,嘀0.2秒钟,然后断0.2秒钟,如此循环下去,假设嘀声的频率为1KHz,则报警声时序图如下图所示: 上述波形信号如何用单片机来产生呢? (2. 由于要产生上面的信号,我们把上面的信号分成两部分,一部分为1KHZ方波,占用时间为0.2秒;另一部分为电平,也是占用0.2秒;因此,我们利用单片机的定时/计数器T0作为定时,可以定时0.2秒;同时,也要用单片机产生1KHZ的方波,对于1KHZ的方波信号周期为1ms,高电平占用0.5ms,低电平占用0.5ms,因此也采用定时器T0来完成0.5ms的定时;最后,可以选定定时/计数器T0的定时时间为0.5ms,而要定时0.2秒则是0.5ms的400倍,也就是说以0.5ms定时400次就达到0.2秒的定时时间了。 5. 程序框图   主程序框图 中断服务程序框图 图4.18.2 6. 汇编源程序T02SA EQU 30HT02SB EQU 31HFLAG BIT 00HORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV T02SA,#00HMOV T02SB,#00HCLR FLAGMOV TMOD,#01HMOV TH0,#() / 256MOV TL0,#() MOD 256SETB TR0SETB ET0SETB EASJMP $INT_T0:MOV TH0,#() / 256MOV TL0,#() MOD 256INC T02SAMOV A,T02SACJNE A,#100,NEXTINC T02SBMOV A,T02SBCJNE A,#04H,NEXTMOV T02SA,#00HMOV T02SB,#00HCPL FLAGNEXT: JB FLAG,DONECPL P1.0DONE: RETIEND7. C语言源程序#include &AT89X51.H&unsigned int t02s;unsigned char t05void main(void){TMOD=0x01;TH0=()/256;TL0=()%256;TR0=1;ET0=1;EA=1;while(1);}void t0(void) interrupt 1 using 0{TH0=()/256;TL0=()%256;t02s++;if(t02s==400){t02s=0;flag=~}if(flag==0){P1_0=~P1_0;}}19. “叮咚”门铃1. 实验任务 当按下开关SP1,AT89S51单片机产生“叮咚”声从P1.0端口输出到LM386,经过放大之后送入喇叭。 2. 电路原理图 图4.19.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P1.0端口用导线连接到“音频放大模块”区域中的SPK IN端口上; (2. 在“音频放大模块”区域中的SPK OUT端口上接上一个8欧或者是16欧的喇叭; (3. 把“单片机系统”区域中的P3.7/RD端口用导线连接到“独立式键盘”区域中的SP1端口上; 4. 程序设计方法 (1. 我们用单片机实定时/计数器T0来产生700HZ和500HZ的频率,根据定时/计数器T0,我们取定时250us,因此,700HZ的频率要经过3次250us的定时,而500HZ的频率要经过4次250us的定时。 (2. 在设计过程,只有当按下SP1之后,才启动T0开始工作,当T0工作完毕,回到最初状态。 (3. “叮”和“咚”声音各占用0.5秒,因此定时/计数器T0要完成0.5秒的定时,对于以250us为基准定时2000次才可以。 5. 程序框图 主程序框图 T0中断服务程序框图 图4.19.2 6. 汇编源程序T5HZ EQU 30HT7HZ EQU 31HT05SA EQU 32HT05SB EQU 33HFLAG BIT 00HSTOP BIT 01HSP1 BIT P3.7ORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV TMOD,#02HMOV TH0,#06HMOV TL0,#06HSETB ET0SETB EANSP: JB SP1,NSPLCALL DELY10MSJB SP1,NSPSETB TR0MOV T5HZ,#00HMOV T7HZ,#00HMOV T05SA,#00HMOV T05SB,#00HCLR FLAGCLR STOPJNB STOP,$LJMP NSPDELY10MS: MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETINT_T0: INC T05SAMOV A,T05SACJNE A,#100,NEXTMOV T05SA,#00HINC T05SBMOV A,T05SBCJNE A,#20,NEXTMOV T05SB,#00HJB FLAG,STPCPL FLAGLJMP NEXTSTP: SETB STOPCLR TR0LJMP DONENEXT: JB FLAG,S5HZINC T7HZMOV A,T7HZCJNE A,#03H,DONEMOV T7HZ,#00HCPL P1.0LJMP DONES5HZ: INC T5HZMOV A,T5HZCJNE A,#04H,DONEMOV T5HZ,#00HCPL P1.0LJMP DONEDONE: RETIEND7. C语言源程序#include &AT89X51.H&unsigned char t5unsigned char t7void main(void){unsigned char i,j;TMOD=0x02;TH0=0x06;TL0=0x06;ET0=1;EA=1;while(1){if(P3_7==0){for(i=10;i&0;i--)for(j=248;j&0;j--);if(P3_7==0){t5hz=0;t7hz=0;tcnt=0;flag=0;stop=0;TR0=1;while(stop==0);}}}}void t0(void) interrupt 1 using 0{tcnt++;if(tcnt==2000){tcnt=0;if(flag==0){flag=~}else{stop=1;TR0=0;}}if(flag==0){t7hz++;if(t7hz==3){t7hz=0;P1_0=~P1_0;}}else{t5hz++;if(t5hz==4){t5hz=0;P1_0=~P1_0;}}} 20. 数字钟﹝★﹞ 1. 实验任务 (1. 开机时,显示12:00:00的时间开始计时; (2. P0.0/AD0控制“秒”的调整,每按一次加1秒; (3. P0.1/AD1控制“分”的调整,每按一次加1分; (4. P0.2/AD2控制“时”的调整,每按一次加1个小时; 2. 电路原理图 图4.20.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P1.0-P1.7端口用8芯排线连接到“动态数码显示”区域中的A-H端口上; (2. 把“单片机系统:区域中的P3.0-P3.7端口用8芯排线连接到“动态数码显示”区域中的S1-S8端口上; (3. 把“单片机系统”区域中的P0.0/AD0、P0.1/AD1、P0.2/AD2端口分别用导线连接到“独立式键盘”区域中的SP3、SP2、SP1端口上; 4. 相关基本知识 (1. 动态数码显示的方法 (2. 独立式按键识别过程 (3. “时”,“分”,“秒”数据送出显示处理方法 5. 程序框图 6. 汇编源程序SECOND EQU 30HMINITE EQU 31HHOUR EQU 32HHOURK BIT P0.0MINITEK BIT P0.1SECONDK BIT P0.2DISPBUF EQU 40HDISPBIT EQU 48HT2SCNTA EQU 49HT2SCNTB EQU 4AHTEMP EQU 4BHORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV SECOND,#00HMOV MINITE,#00HMOV HOUR,#12MOV DISPBIT,#00HMOV T2SCNTA,#00HMOV T2SCNTB,#00HMOV TEMP,#0FEHLCALL DISPMOV TMOD,#01HMOV TH0,#() / 256MOV TL0,#() MOD 256SETB TR0SETB ET0SETB EAWT: JB SECONDK,NK1LCALL DELY10MSJB SECONDK,NK1INC SECONDMOV A,SECONDCJNE A,#60,NS60MOV SECOND,#00HNS60: LCALL DISPJNB SECONDK,$NK1: JB MINITEK,NK2LCALL DELY10MSJB MINITEK,NK2INC MINITEMOV A,MINITECJNE A,#60,NM60MOV MINITE,#00HNM60: LCALL DISPJNB MINITEK,$NK2: JB HOURK,NK3LCALL DELY10MSJB HOURK,NK3INC HOURMOV A,HOURCJNE A,#24,NH24MOV HOUR,#00HNH24: LCALL DISPJNB HOURK,$NK3: LJMP WTDELY10MS:MOV R6,#10D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETDISP:MOV A,#DISPBUFADD A,#8DEC AMOV R1,AMOV A,HOURMOV B,#10DIV ABMOV @R1,ADEC R1MOV A,BMOV @R1,ADEC R1MOV A,#10MOV@R1,ADEC R1MOV A,MINITEMOV B,#10DIV ABMOV @R1,ADEC R1MOV A,BMOV @R1,ADEC R1MOV A,#10MOV@R1,ADEC R1MOV A,SECONDMOV B,#10DIV ABMOV @R1,ADEC R1MOV A,BMOV @R1,ADEC R1RETINT_T0:MOV TH0,#() / 256MOV TL0,#() MOD 256MOV A,#DISPBUFADD A,DISPBITMOV R0,AMOV A,@R0MOV DPTR,#TABLEMOVC A,@A+DPTRMOV P1,AMOV A,DISPBITMOV DPTR,#TABMOVC A,@A+DPTRMOV P3,AINC DISPBITMOV A,DISPBITCJNE A,#08H,KNAMOV DISPBIT,#00HKNA: INC T2SCNTAMOV A,T2SCNTACJNE A,#100,DONEMOV T2SCNTA,#00HINC T2SCNTBMOV A,T2SCNTBCJNE A,#05H,DONEMOV T2SCNTB,#00HINC SECONDMOV A,SECONDCJNE A,#60,NEXTMOV SECOND,#00HINC MINITEMOV A,MINITECJNE A,#60,NEXTMOV MINITE,#00HINC HOURMOV A,HOURCJNE A,#24,NEXTMOV HOUR,#00HNEXT: LCALL DISPDONE: RETITABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,40HTAB: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FHEND7. C语言源程序#include &AT89X51.H&unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};unsigned char dispbitcode[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};unsigned char dispbuf[8]={0,0,16,0,0,16,0,0};unsiunsigned char i,j;void main(void){TMOD=0x02;TH0=0x06;TL0=0x06;TR0=1;ET0=1;EA=1;while(1){if(P0_0==0){for(i=5;i&0;i--)for(j=248;j&0;j--);if(P0_0==0){second++;if(second==60){second=0;}dispbuf[0]=second%10;dispbuf[1]=second/10;while(P0_0==0);}}if(P0_1==0){for(i=5;i&0;i--)for(j=248;j&0;j--);if(P0_1==0){minite++;if(minite==60){minite=0;}dispbuf[3]=minite%10;dispbuf[4]=minite/10;while(P0_1==0);}}if(P0_2==0){for(i=5;i&0;i--)for(j=248;j&0;j--);if(P0_2==0){hour++;if(hour==24){hour=0;}dispbuf[6]=hour%10;dispbuf[7]=hour/10;while(P0_2==0);}}}}void t0(void) interrupt 1 using 0{mstcnt++;if(mstcnt==8){mstcnt=0;P1=dispcode[dispbuf[dispbitcnt]];P3=dispbitcode[dispbitcnt];dispbitcnt++;if(dispbitcnt==8){dispbitcnt=0;}}tcnt++;if(tcnt==4000){tcnt=0;second++;if(second==60){second=0;minite++;if(minite==60){minite=0;hour++;if(hour==24){hour=0;}}}dispbuf[0]=second%10;dispbuf[1]=second/10;dispbuf[3]=minite%10;dispbuf[4]=minite/10;dispbuf[6]=hour%10;dispbuf[7]=hour/10;}} 21. 拉幕式数码显示技术 1. 实验任务 用AT89S51单片机的P0.0/AD0-P0.7/AD7端口接数码管的a-h端,8位数码管的S1-S8通过74LS138译码器的Y0-Y7来控制选通每个数码管的位选端。AT89S51单片机的P1.0-P1.2控制74LS138的A,B,C端子。在8位数码管上从右向左循环显示“”。能够比较平滑地看到拉幕的效果。 2. 电路原理图 图4.21.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P0.0/AD0-P0.7/AD7用8芯排线连接到“动态数码显示”区域中的a-h端口上; (2. 把“三八译码模块”区域中的Y0-Y7用8芯排线连接到“动态数码显示”区域中的S1-S8端口上; (3. 把“单片机系统”区域中的P1.0-P1.2端口用3根导线连接到“三八译码模块”区域中的A、B、C“端口上; 4. 程序设计方法 (1. 动态数码显示技术;如何进行动态扫描,由于一次只能让一个数码管显示,因此,要显示8位的数据,必须经过让数码管一个一个轮流显示才可以,同时每个数码管显示的时间大约在1ms到4ms之间,所以为了保证正确显示,我必须每隔1ms,就得刷新一个数码管。而这刷新时间我们采用单片机的定时/计数器T0来控制,每定时1ms对数码管刷新一次,T0采用方式2。 (2. 在进行数码显示的时候,要对显示单元开辟8个显示缓冲区,每个显示缓冲区装有显示的不同数据即可。 5. 程序框图 主程序框图 中断服务程序框图   图4.21.2 6. 汇编源程序DISPBUF EQU 30HDISPCNT EQU 38HDISPBIT EQU 39HT1CNTA EQU 3AHT1CNTB EQU 3BHCNT EQU 3CHORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV DISPCNT,#8MOV A,#10MOV R1,#DISPBUFLP: MOV @R1,AINC R1DJNZ DISPCNT,LPMOV DISPBIT,#00HMOV T1CNTA,#00HMOV T1CNTB,#00HMOV CNT,#00HMOV TMOD,#01HMOV TH0,#() / 256MOV TL0,#() MOD 256SETB TR0SETB ET0SETB EASJMP $INT_T0:MOV TH0,#() / 256MOV TL0,#() MOD 256MOV A,DISPBITADD A,#DISPBUFMOV R0,AMOV A,@R0MOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,P1ANL A,#0F8HADD A,DISPBITMOV P1,AINC DISPBITMOV A,DISPBITCJNE A,#08H,NEXTMOV DISPBIT,#00HNEXT: INC T1CNTAMOV A,T1CNTACJNE A,#50,LL1MOV T1CNTA,#00HINC T1CNTBMOV A,T1CNTBCJNE A,#8,LL1MOV T1CNTB,#00HINC CNTMOV A,CNTCJNE A,#9,LLXMOV CNT,#00HMOV A,CNTLLX: CJNE A,#01H,NEX1MOV 30H,#8LL1: LJMP DONENEX1: CJNE A,#02H,NEX2MOV 31H,#8MOV 30H,#8LJMP DONENEX2: CJNE A,#03H,NEX3MOV 32H,#8MOV 31H,#8MOV 30H,#8LJMP DONENEX3: CJNE A,#04H,NEX4MOV 33H,#8MOV 32H,#8MOV 31H,#8MOV 30H,#8LJMP DONENEX4: CJNE A,#05H,NEX5MOV 34H,#8MOV 33H,#8MOV 32H,#8MOV 31H,#8MOV 30H,#8LJMP DONENEX5: CJNE A,#06H,NEX6MOV 35H,#8MOV 34H,#8MOV 33H,#8MOV 32H,#8MOV 31H,#8MOV 30H,#8LJMP DONENEX6: CJNE A,#07H,NEX7MOV 36H,#8MOV 35H,#8MOV 34H,#8MOV 33H,#8MOV 32H,#8MOV 31H,#8MOV 30H,#8LJMP DONENEX7: CJNE A,#08H,NEX8MOV 37H,#8MOV 36H,#8MOV 35H,#8MOV 34H,#8MOV 33H,#8MOV 32H,#8MOV 31H,#8MOV 30H,#8LJMP DONENEX8: CJNE A,#00H,DONEMOV 37H,#10MOV 36H,#10MOV 35H,#10MOV 34H,#10MOV 33H,#10MOV 32H,#10MOV 31H,#10MOV 30H,#10LL: LJMP DONEDONE: RETITABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,00HEND7. C语言源程序#include &AT89X51.H&unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};unsigned char dispbitcode[]={0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfe,0xff};unsigned char dispbuf[8]={16,16,16,16,16,16,16,16};unsiunsigned int t02unsigned char t5void main(void){TMOD=0x02;TH0=0x06;TL0=0x06;TR0=1;ET0=1;EA=1;while(1);}void t0(void) interrupt 1 using 0{t5mscnt++;if(t5mscnt==4){t5mscnt=0;P0=dispcode[dispbuf[dispbitcnt]];P1=dispbitcode[dispbitcnt];dispbitcnt++;if(dispbitcnt==8){dispbitcnt=0;}}t02scnt++;if(t02scnt==1600){t02scnt=0;u++;if(u==9){u=0;}for(i=0;i&8;i++){dispbuf[i]=16;}for(i=0;i&u;i++){dispbuf[i]=8;}}} 22. 电子琴 1. 实验任务 (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。 2. 电路原理图 图4.22.1 3. 系统板硬件连线 (1. 把“单片机系统”区域中的P1.0端口用导线连接到“音频放大模块”区域中的SPK IN端口上; (2. 把“单片机系统“区域中的P3.0-P3.7端口用8芯排线连接到“4X4行列式键盘”区域中的C1-C4 R1-R4端口上; 4. 相关程序内容 (1. 4X4行列式键盘识别; (2. 音乐产生的方法; 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。现在以单片机12MHZ晶振为例,例出高中低音符与单片机计数T0相关的计数值如下表所示 音符
频率(HZ)
简谱码(T值)
频率(HZ)
简谱码(T值) 低1 DO
64860 #1 DO#
64898 低2 RE
64934 #2 RE#
64968 低 3 M
64994 低 4 FA
65030 # 4 FA#
65058 低 5 SO
65085 # 5 SO#
65110 低 6 LA
65157 低 7 SI
65178 中 1 DO
65198 # 1 DO#
65217 中 2 RE
65235 # 2 RE#
65252 中 3 M
65268 中 4 FA
65283 下面我们要为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据 低音0-19之间,中音在20-39之间,高音在40-59之间 TABLE: DW 0,,,, DW 0,,0,,,0 DW 0,,,, DW 0,,0,,,0 DW 0,,,, DW 0,,0,,,0 DW 0 2、音乐的音拍,一个节拍为单位(C调) 曲调值
DELAY 调4/4
62ms 调3/4
94ms 调2/4
125ms 对于不同的曲调我们也可以用单片机的另外一个定时/计数器来完成。 下面就用AT89S51单片机产生一首“生日快乐”歌曲来说明单片机如何产生的。 在这个程序中用到了两个定时/计数器来完成的。其中T0用来产生音符频率,T1用来产生音拍。 5. 程序框图 图4.22.2 6. 汇编源程序KEYBUF EQU 30HSTH0 EQU 31HSTL0 EQU 32HTEMP EQU 33HORG 00HLJMP STARTORG 0BHLJMP INT_T0START: MOV TMOD,#01HSETB ET0SETB EAWAIT:MOV P3,#0FFHCLR P3.4MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY1LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY1MOV A,P3ANL A,#0FHCJNE A,#0EH,NK1MOV KEYBUF,#0LJMP DK1NK1: CJNE A,#0DH,NK2MOV KEYBUF,#1LJMP DK1NK2: CJNE A,#0BH,NK3MOV KEYBUF,#2LJMP DK1NK3: CJNE A,#07H,NK4MOV KEYBUF,#3LJMP DK1NK4: NOPDK1:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK1A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK1ACLR TR0NOKEY1:MOV P3,#0FFHCLR P3.5MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY2MOV A,P3ANL A,#0FHCJNE A,#0EH,NK5MOV KEYBUF,#4LJMP DK2NK5: CJNE A,#0DH,NK6MOV KEYBUF,#5LJMP DK2NK6: CJNE A,#0BH,NK7MOV KEYBUF,#6LJMP DK2NK7: CJNE A,#07H,NK8MOV KEYBUF,#7LJMP DK2NK8: NOPDK2:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK2A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK2ACLR TR0NOKEY2:MOV P3,#0FFHCLR P3.6MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY3MOV A,P3ANL A,#0FHCJNE A,#0EH,NK9MOV KEYBUF,#8LJMP DK3NK9: CJNE A,#0DH,NK10MOV KEYBUF,#9LJMP DK3NK10: CJNE A,#0BH,NK11MOV KEYBUF,#10LJMP DK3NK11: CJNE A,#07H,NK12MOV KEYBUF,#11LJMP DK3NK12: NOPDK3:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK3A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK3ACLR TR0NOKEY3:MOV P3,#0FFHCLR P3.7MOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4LCALL DELY10MSMOV A,P3ANL A,#0FHXRL A,#0FHJZ NOKEY4MOV A,P3ANL A,#0FHCJNE A,#0EH,NK13MOV KEYBUF,#12LJMP DK4NK13: CJNE A,#0DH,NK14MOV KEYBUF,#13LJMP DK4NK14: CJNE A,#0BH,NK15MOV KEYBUF,#14LJMP DK4NK15: CJNE A,#07H,NK16MOV KEYBUF,#15LJMP DK4NK16: NOPDK4:MOV A,KEYBUFMOV DPTR,#TABLEMOVC A,@A+DPTRMOV P0,AMOV A,KEYBUFMOV B,#2MUL ABMOV TEMP,AMOV DPTR,#TABLE1MOVC A,@A+DPTRMOV STH0,AMOV TH0,AINC TEMPMOV A,TEMPMOVC A,@A+DPTRMOV STL0,AMOV TL0,ASETB TR0DK4A: MOV A,P3ANL A,#0FHXRL A,#0FHJNZ DK4ACLR TR0NOKEY4:LJMP WAITDELY10MS:MOV R6,#10D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1RETINT_T0:MOV TH0,STH0MOV TL0,STL0CPL P1.0RETITABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07HDB 7FH,6FH,77H,7CH,39H,5EH,79H,71HTABLE1: DW ,DW ,DW ,DW ,END7. C语言源程序#include &AT89X51.H&unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};unsigned char i,j;unsigned char STH0;unsigned char STL0;unsigned int code tab[]={,,,,,,,};void main(void){TMOD=0x01;ET0=1;EA=1;while(1){P3=0P3_4=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=0;case 0x0d:key=1;case 0x0b:key=2;case 0x07:key=3;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0P3_5=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=4;case 0x0d:key=5;case 0x0b:key=6;case 0x07:key=7;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0P3_6=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=8;case 0x0d:key=9;case 0x0b:key=10;case 0x07:key=11;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}P3=0P3_7=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=12;case 0x0d:key=13;case 0x0b:key=14;case 0x07:key=15;}temp=P3;P1_0=~P1_0;P0=table[key];STH0=tab[key]/256;STL0=tab[key]%256;TR0=1;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}TR0=0;}}}}void t0(void) interrupt 1 using 0{TH0=STH0;TL0=STL0;P1_0=~P1_0;}23. 模拟计算器数字输入及显示 1. 实验任务 (1. 开机时,显示“0” (2. 第一次按下时,显示“D1”;第二次按下时,显示“D1D2”;第三按下时,显示“D1D2D3”,8个全显示完毕,再按下按键下时,给出“嘀”提示音。 2. 电路原理图 图4.23.1 3. 系统板上硬件连线 (1. 把“单片机系统”区域中的P1.0端口用导线连接到“音频放大模块”区域中的SPK IN端口上; (2. 把“单片机系统“区域中的P3.0-P3.7端口用8芯排线连接到“4X4行列式键盘”区域中的C1-C4 R1-R4端口上; (3. 把“单片机系统”区域中的P0.0-P0.7端口用8芯排线连接到“动态数码显示”区域中的A-H端口上; (4. 把“单片机系统:区域中的P2.0-P2.7端口用8芯排线连接到“动态数码显示”区域中的S1-S8端口上; 4. 相关程序设计内容 (1. 行列式键盘输入及按键功能设定; (2. 动态数码显示; (3. 数码显示方式处理;5. 汇编源程序(略)6. C语言源程序#include &AT89X51.H&unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};unsigned char code dispbitcode[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};unsigned char dispbuf[8]={0,16,16,16,16,16,16,16};unsignunsigned char i,j;void change(unsigned char *p,unsigned char count){while(count&0){*(p+count)=*(p+count-1);count--;}}void main(void){TMOD=0x01;TH0=() / 256;TL0=() % 256;TR0=1;ET0=1;EA=1;while(1){P3=0P3_4=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=7;case 0x0d:key=8;case 0x0b:key=9;case 0x07:key=10;}if ((key&=0) && (key&10)){keypos++;if(keypos&8){change(dispbuf,keypos);dispbuf[0]=}else{keypos=8;alarmflag=1;}}temp=P3;P1_0=~P1_0;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}alarmflag=0;}}P3=0P3_5=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=4;case 0x0d:key=5;case 0x0b:key=6;case 0x07:key=11;}if ((key&=0) && (key&10)){keypos++;if(keypos&8){change(dispbuf,keypos);dispbuf[0]=}else{keypos=8;alarmflag=1;}}temp=P3;P1_0=~P1_0;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}alarmflag=0;}}P3=0P3_6=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=1;case 0x0d:key=2;case 0x0b:key=3;case 0x07:key=12;}if ((key&=0) && (key&10)){keypos++;if(keypos&8){change(dispbuf,keypos);dispbuf[0]=}else{keypos=8;alarmflag=1;}}temp=P3;P1_0=~P1_0;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}alarmflag=0;}}P3=0P3_7=0;temp=P3;temp=temp & 0x0f;if (temp!=0x0f){for(i=50;i&0;i--)for(j=200;j&0;j--);temp=P3;temp=temp & 0x0f;if (temp!=0x0f){temp=P3;temp=temp & 0x0f;switch(temp){case 0x0e:key=0;case 0x0d:key=13;case 0x0b:key=14;case 0x07:key=15;}if ((key&=0) && (key&10)){keypos++;if(keypos&8){change(dispbuf,keypos);dispbuf[0]=}else{keypos=8;alarmflag=1;}}temp=P3;P1_0=~P1_0;temp=temp & 0x0f;while(temp!=0x0f){temp=P3;temp=temp & 0x0f;}alarmflag=0;}}}}void t0(void) interrupt 1 using 0{TH0=() / 256;TL0=() % 256;P0=dispcode[dispbuf[dispbitcount]];P2=dispbitcode[dispbitcount];dispbitcount++;if (dispbitcount==8){dispbitcount=0;}if (alarmflag==1){P1_1=~P1_1;}}24.8X8 LED点阵显示技术 1. 实验任务 在8X8 LED点阵上显示柱形,让其先从左到右平滑移动三次,其次从右到左平滑移动三次,再次从上到下平滑移动三次,最后从下到上平滑移动三次,如此循环下去。 2. 电路原理图 图4.24.1 3. 硬件电路连线 (1). 把“单片机系统”区域中的P1端口用8芯排芯连接到“点阵模块”区域中的“DR1-DR8”端口上; (2). 把“单片机系统”区域中的P3端口用8芯排芯连接到“点阵模块”区域中的“DC1-DC8”端口上; 4. 程序设计内容 (1). 8X8 点阵LED工作原理说明 8X8点阵LED结构如下图所示 图4.24.2 从图4.24.2中可以看出,8X8点阵共需要64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,如图49所示,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的亮的方法如下所述:一根竖柱:对应的列置1,而行则采用扫描的方法来实现。 一根横柱:对应的行置0,而列则采用扫描的方法来实现。 5.汇编源程序ORG 00HSTART: NOPMOV R3,#3LOP2: MOV R4,#8MOV R2,#0LOP1: MOV P1,#0FFHMOV DPTR,#TABAMOV A,R2MOVC A,@A+DPTRMOV P3,AINC R2LCALL DELAYDJNZ R4,LOP1DJNZ R3,LOP2MOV R3,#3LOP4: MOV R4,#8MOV R2,#7LOP3: MOV P1,#0FFHMOV DPTR,#TABAMOV A,R2MOVC A,@A+DPTRMOV P3,ADEC R2LCALL DELAYDJNZ R4,LOP3DJNZ R3,LOP4MOV R3,#3LOP6: MOV R4,#8MOV R2,#0LOP5: MOV P3,#00HMOV DPTR,#TABBMOV A,R2MOVC A,@A+DPTRMOV P1,AINC R2LCALL DELAYDJNZ R4,LOP5DJNZ R3,LOP6MOV R3,#3LOP8: MOV R4,#8MOV R2,#7LOP7: MOV P3,#00HMOV DPTR,#TABBMOV A,R2MOVC A,@A+DPTRMOV P1,ADEC R2LCALL DELAYDJNZ R4,LOP7DJNZ R3,LOP8LJMP STARTDELAY: MOV R5,#10D2: MOV R6,#20D1: MOV R7,#248DJNZ R7,$DJNZ R6,D1DJNZ R5,D2RETTABA: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FHTABB: DB 01H,02H,04H,08H,10H,20H,40H,80HEND6. C语言源程序#include &AT89X52.H&unsigned char code taba[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};unsigned char code tabb[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};void delay(void){unsigned char i,j;for(i=10;i&0;i--)for(j=248;j&0;j--);}void delay1(void){unsigned char i,j,k;for(k=10;k&0;k--)for(i=20;i&0;i--)for(j=248;j&0;j--);}void main(void){unsigned char i,j;while(1){for(j=0;j&3;j++)  //from left to right 3 time{for(i=0;i&8;i++){P3=taba[i];P1=0delay1();}}for(j=0;j&3;j++)  //from right to left 3 time{for(i=0;i&8;i++){P3=taba[7-i];P1=0delay1();}}for(j=0;j&3;j++)  //from top to bottom 3 time{for(i=0;i&8;i++){P3=0x00;P1=tabb[7-i];delay1();}}for(j=0;j&3;j++)  //from bottom to top 3 time{for(i=0;i&8;i++){P3=0x00;P1=tabb[i];delay1();}}}} 25. 点阵式LED“0-9”数字显示技术 1. 实验任务 利用8X8点阵显示数字0到9的数字。 2. 电路原理图 图4.25.1 3. 硬件系统连线 (1). 把“单片机系统”区域中的P1端口用8芯排芯连接到“点阵模块”区域中的“DR1-DR8”端口上; (2). 把“单片机系统”区域中的P3端口用8芯排芯连接到“点阵模块”区域中的“DC1-DC8”端口上; 4. 程序设计内容 (1). 数字0-9点阵显示代码的形成 如下图所示,假设显示数字“0” 1 2 3 4 5 6 7 8  
  00 00 3E 41 41 41 3E 00 因此,形成的列代码为 00H,00H,3EH,41H,41H,3EH,00H,00H;只要把这些代码分别送到相应的列线上面,即可实现“0”的数字显示。 送显示代码过程如下所示 送第一列线代码到P3端口,同时置第一行线为“0”,其它行线为“1”,延时2ms左右,送第二列线代码到P3端口,同时置第二行线为“0”,其它行线为“1”,延时2ms左右,如此下去,直到送完最后一列代码,又从头开始送。 数字“1”代码建立如下图所示1 2 3 4 5 6 7 8  
  其显示代码为 00H,00H,00H,00H,21H,7FH,01H,00H 数字“2”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,27H,45H,45H,45H,39H,00H 数字“3”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,22H,49H,49H,49H,36H,00H 数字“4”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,0CH,14H,24H,7FH,04H,00H 数字“5”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,72H,51H,51H,51H,4EH,00H 数字“6”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,3EH,49H,49H,49H,26H,00H 数字“7”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,40H,40H,40H,4FH,70H,00H 数字“8”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,36H,49H,49H,49H,36H,00H 数字“9”代码建立如下图所示 1 2 3 4 5 6 7 8  
  00H,00H,32H,49H,49H,49H,3EH,00H 5. 汇编源程序TIM EQU 30HCNTA EQU 31HCNTB EQU 32HORG 00HLJMP STARTORG 0BHLJMP T0XORG 30HSTART: MOV TIM,#00HMOV CNTA,#00HMOV CNTB,#00HMOV TMOD,#01HMOV TH0,#()/256MOV TL0,#() MOD 256SETB TR0SETB ET0SETB EASJMP $T0X:MOV TH0,#()/256MOV TL0,#() MOD 256MOV DPTR,#TABMOV A,CNTAMOVC A,@A+DPTRMOV P3,AMOV DPTR,#DIGITMOV A,CNTBMOV B,#8MUL ABADD A,CNTAMOVC A,@A+DPTRMOV P1,AINC CNTAMOV A,CNTACJNE A,#8,NEXTMOV CNTA,#00HNEXT: INC TIMMOV A,TIMCJNE A,#250,NEXMOV TIM,#00HINC CNTBMOV A,CNTBCJNE A,#10,NEXMOV CNTB,#00HNEX: RETITAB: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FHDIGIT: DB 00H,00H,3EH,41H,41H,41H,3EH,00HDB 00H,00H,00H,00H,21H,7FH,01H,00HDB 00H,00H,27H,45H,45H,45H,39H,00HDB 00H,00H,22H,49H,49H,49H,36H,00HDB 00H,00H,0CH,14H,24H,7FH,0}

我要回帖

更多关于 单片机数码管倒计时 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信