zedboard 怎么autofs 自动挂载载SD卡

当前位置: & & & &
> ZedBoard开发板ZYNQ70...
描述属实:分
服务态度:分
发货速度:分
最近成交:已售出251 笔
最近成交:已售出69 笔
最近成交:已售出40 笔
最近成交:已售出26 笔
ZedBoard开发板ZYNQ7000 XILINX 资料详细,手把手教程
今日价格:
货号: 5caeae59ccee
商品库存: 2175 件
积&&&&分:单件送3100积分亲小积分有大用途哦~
所属分类:
热门标签:
品牌:希科电子
&双11 活动 ,拍下立减 &100元 。&本人为爱好者和工程师提供免费的咨询,对购买的顾客提供包含软件硬件逻辑系统以及驱动等完善的售后服务!从2007年自己发布第一个开源的5级流水线32位MIPS处理器软核MIPS789至今,本人就一直从事处理器系统研发,FPGA验证以及软硬件开发环境的建立方面工作和研究。先后供职于计算所龙芯组,君正,中数国睿...大家可能注意到可以通过WWW.SYSCLK.COM或者SYSCLK.TAOBAO.COM直接访问到我们网店,是的SYSCLK这个域名是我在2008年注册的,包含了我的理想和兴趣所在: 从操作系统SYStem到CLocK的每个细节研究和把握。幸ZYNQ7000系列芯片横空出世,四年时间内我用ZYNQ7000做了多个项目后,越发钟爱ZYNQ7000系列片上系统,于是决定做出最流行的ZEDBOARD之后,自己做配套资料,并推广开来。我计划从现在开始至少用五年时间专注做这件事情。我似乎看到2-3年内伴随着Z7的推广以及Z7系列芯片价格的平民化,Z7的应用将横扫一切,Z7的普及将势不可挡。无论你从事嵌入式自动化的软件或者硬件,都将有Z7开发能力的要求。除了优质稳定,高度兼容的板子,我希望完整,详细,专业,深入的教程以及完善的服务成为我们的突出特色!希望您得到的不单单是板子,更是我们货真价实的ZYNQ7000开发指导和技术支持。愿意为大家提供无偿的ZYNQ7000以及FPGA技术服务,有这方面的技术欢迎联系我。广交朋友,无论是否买板子,都可以联系我咨询和讨论。 而对于购买过的顾客,我将作为技术顾问或技术支持,尽心尽力,提供最大化的技术支持,一路帮助大家学习和研发!我们将不断国产化昂贵的FMC子卡!本板子对原版的FMC接口做了物理插件的等效替换,在此基础上可以做出丰富的扩展模块, 陆续推出难得的FMC兼容模块。现已经有多个外扩模块,后续还将不断推出各种扩展模块!本店推出的模块在代码以及原理图级别完全兼容XILINX 和DIGILENT以及其他第三方所推出的FMC扩展模块!OV7670 摄像头模块(包含全部代码详细的解说教程,直接插在板子PMOD口,所采集图像通过VGA显示,链接为:https://item.taobao.com/item.htm?id=&外扩接口IO扩展模块&将板子右上部分的连接器转成了70多路2.54MM间距的IO,用户可以直接接杜邦线进行试验&,另外有18路2.54MM排母用以接摄像头,& 链接为:https://item.taobao.com/item.htm?id=&&处理器o Zynq&-7000 AP SoC XC7Z020-CLG484-100&存储器o 512 MB DDR3o 256 Mb Quad-SPI Flasho 8 GB SD card&通讯o Onboard USB-JTAG Programmingo 10/100/1000 Etherneto USB OTG 2.0 and USB-UART&扩展o External connector (68 single-ended or 34 differential I/Os)o 5 Pmod& compatible headers (2x6)o Agile Mixed Signaling (AMS) header&时钟o 33.33333 MHz clock source for PSo 100 MHz oscillator for PL&显示o HDMI output supporting 1080p60 with 16-bit, YCbCr, 4:2:2 mode coloro VGA output (12-bit resolution color)o 128x32 OLED display&配置和调试o Onboard USB-JTAG interfaceo Xilinx Platform Cable JTAG connector&通用IOo 8 user LEDso 7 push buttonso 8 DIP switches&&&&&&&&&&完全兼容官方ZEBOARD,&所有的接插件,按钮,跳线以及LED的布局跟官方的完全相同。另外绝大多数的原件标号和官方也都是对应的。可以直接用任何ZEDBOARD的书或者教程进行学习,和官方原版没有任何差别。我们的开发板和官方的完全一样,不需要另外购买下载线,板子集成了下载线,直接通过USB接在电脑上就有了下载或者调试。当然也是留有下载线接口,也可是不适用板载的下载线,而用外部自己的下载线进行试验。&&
本人手机号(微信):<span style="color: #21584&&欢迎联系!&ZEDBOARD学习交流QQ群<span style="color: #872&内有下列视频教程 欢迎加入。& & &视频19,中断控制部分的原理和SDK函数以及中断的试验(时长90分钟),已完成,此介绍待更新。&视频20,等精度频率计的原理分析和实例实现&(时长85分钟),已完成,此介绍待更新。视频21,双核的原理和运行实例(时长56分钟),已完成,此介绍待更新。&视频22,SPI FLASH里启动LINUX的详细分析和实现(时长 42分钟),已完成,此介绍待更新。&视频23,QT开发环境的建立以及在ZEDBOARD开发板上运行第一个QT例子。(时长 33分钟),已完成,此介绍待更新。&视频24:VGA接口原理分析以及控制逻辑的实现。已完成,此介绍待更新。& & & & &A,VGA接口信号电平以及通讯协议以及各种分辨率的详细介绍并根据时序图逐字写出VGA驱动代码做出VGA时序控制器(时长75分钟)。& & & & &B,将上述VGA时序控制器进行仿真,并在硬件运行,做出彩条发生器,检测VGA时序正常(时长46分钟)。 视频25:纯PL实现独立显存VGA驱动并显示画面(时长47分)。已完成,此介绍待更新。&视频26:BRAM的原理介绍和使用。已完成,此介绍待更新。& & & & & A,分析BLOCK RAM原理,采用独立BRAM块接入上述VGA控制器作为独立缓存(区别于视频25所用的语言描述的RAM)(时长48分)。& & & & & B,使用AXI 接口的BLOCK RAM控制器接BRAM,在PS里实现数据读写,达到通过共享BRAM实现PL与PS交换数据(时长56分)。&视频27:PS编程实现VGA图像显示(时长43分)。已完成,此介绍待更新。&& & & & & &&编号50以及后续的编号将只对售后提供,现在暂时开放下载,可进群下载。&视频50,贯穿PL和PS以及驱动的嵌入式LINUX系统实现。以一个小项目为例,分成三个小视频分别展开详细分析。已完成,此介绍待更新。& & & & &A,PL逻辑的编写,生成BIT流配置文件,结合FSBL和U-BOOT最终生成BOOT.BIN.(时长45分钟)& & & & &B,驱动程序的编写以及动态挂载到LINUX系统.(时长55分钟)& & & & &C,用户程序的编写以及调用驱动程序进行试验.(时长30分钟)& & & & &D,驱动程序静态编入内核的分析以及实现(时长54分钟)。& &&&&发货清单:1,ZEDBOARD全兼容主板一个。2,12v 2A电源变换器一个。3,MICRO USB线两根(一根连接USB串口做终端,一根可以做下载调试线)。4,MICRO USB转 OTG线一根(用于做USB OTG试验,比如外接鼠标或者键盘)5,8G SD卡,原装闪迪品牌高质量。6,SD卡读卡器一个。7,1米千兆(兼容M/10M)以太网连接线 。&本产品一律发顺丰快递航空件。&&&&&&双11 活动 ,拍下立减 &100元 。&本人为爱好者和工程师提供免费的咨询,对购买的顾客提供包含软件硬件逻辑系统以及驱动等完善的售后服务!从2007年自己发布第一个开源的5级流水线32位MIPS处理器软核MIPS789至今,本人就一直从事处理器系统研发,FPGA验证以及软硬件开发环境的建立方面工作和研究。先后供职于计算所龙芯组,君正,中数国睿...大家可能注意到可以通过WWW.SYSCLK.COM或者SYSCLK.TAOBAO.COM直接访问到我们网店,是的SYSCLK这个域名是我在2008年注册的,包含了我的理想和兴趣所在: 从操作系统SYStem到CLocK的每个细节研究和把握。幸ZYNQ7000系列芯片横空出世,四年时间内我用ZYNQ7000做了多个项目后,越发钟爱ZYNQ7000系列片上系统,于是决定做出最流行的ZEDBOARD之后,自己做配套资料,并推广开来。我计划从现在开始至少用五年时间专注做这件事情。我似乎看到2-3年内伴随着Z7的推广以及Z7系列芯片价格的平民化,Z7的应用将横扫一切,Z7的普及将势不可挡。无论你从事嵌入式自动化的软件或者硬件,都将有Z7开发能力的要求。除了优质稳定,高度兼容的板子,我希望完整,详细,专业,深入的教程以及完善的服务成为我们的突出特色!希望您得到的不单单是板子,更是我们货真价实的ZYNQ7000开发指导和技术支持。愿意为大家提供无偿的ZYNQ7000以及FPGA技术服务,有这方面的技术欢迎联系我。广交朋友,无论是否买板子,都可以联系我咨询和讨论。 而对于购买过的顾客,我将作为技术顾问或技术支持,尽心尽力,提供最大化的技术支持,一路帮助大家学习和研发!我们将不断国产化昂贵的FMC子卡!本板子对原版的FMC接口做了物理插件的等效替换,在此基础上可以做出丰富的扩展模块, 陆续推出难得的FMC兼容模块。现已经有多个外扩模块,后续还将不断推出各种扩展模块!本店推出的模块在代码以及原理图级别完全兼容XILINX 和DIGILENT以及其他第三方所推出的FMC扩展模块!OV7670 摄像头模块(包含全部代码详细的解说教程,直接插在板子PMOD口,所采集图像通过VGA显示,链接为:https://item.taobao.com/item.htm?id=&外扩接口IO扩展模块&将板子右上部分的连接器转成了70多路2.54MM间距的IO,用户可以直接接杜邦线进行试验&,另外有18路2.54MM排母用以接摄像头,& 链接为:https://item.taobao.com/item.htm?id=&&处理器o Zynq&-7000 AP SoC XC7Z020-CLG484-100&存储器o 512 MB DDR3o 256 Mb Quad-SPI Flasho 8 GB SD card&通讯o Onboard USB-JTAG Programmingo 10/100/1000 Etherneto USB OTG 2.0 and USB-UART&扩展o External connector (68 single-ended or 34 differential I/Os)o 5 Pmod& compatible headers (2x6)o Agile Mixed Signaling (AMS) header&时钟o 33.33333 MHz clock source for PSo 100 MHz oscillator for PL&显示o HDMI output supporting 1080p60 with 16-bit, YCbCr, 4:2:2 mode coloro VGA output (12-bit resolution color)o 128x32 OLED display&配置和调试o Onboard USB-JTAG interfaceo Xilinx Platform Cable JTAG connector&通用IOo 8 user LEDso 7 push buttonso 8 DIP switches&&&&&&&&&&完全兼容官方ZEBOARD,&所有的接插件,按钮,跳线以及LED的布局跟官方的完全相同。另外绝大多数的原件标号和官方也都是对应的。可以直接用任何ZEDBOARD的书或者教程进行学习,和官方原版没有任何差别。我们的开发板和官方的完全一样,不需要另外购买下载线,板子集成了下载线,直接通过USB接在电脑上就有了下载或者调试。当然也是留有下载线接口,也可是不适用板载的下载线,而用外部自己的下载线进行试验。&&
本人手机号(微信):<span style="color: #21584&&欢迎联系!&ZEDBOARD学习交流QQ群<span style="color: #872&内有下列视频教程 欢迎加入。& & &视频19,中断控制部分的原理和SDK函数以及中断的试验(时长90分钟),已完成,此介绍待更新。&视频20,等精度频率计的原理分析和实例实现&(时长85分钟),已完成,此介绍待更新。视频21,双核的原理和运行实例(时长56分钟),已完成,此介绍待更新。&视频22,SPI FLASH里启动LINUX的详细分析和实现(时长 42分钟),已完成,此介绍待更新。&视频23,QT开发环境的建立以及在ZEDBOARD开发板上运行第一个QT例子。(时长 33分钟),已完成,此介绍待更新。&视频24:VGA接口原理分析以及控制逻辑的实现。已完成,此介绍待更新。& & & & &A,VGA接口信号电平以及通讯协议以及各种分辨率的详细介绍并根据时序图逐字写出VGA驱动代码做出VGA时序控制器(时长75分钟)。& & & & &B,将上述VGA时序控制器进行仿真,并在硬件运行,做出彩条发生器,检测VGA时序正常(时长46分钟)。 视频25:纯PL实现独立显存VGA驱动并显示画面(时长47分)。已完成,此介绍待更新。&视频26:BRAM的原理介绍和使用。已完成,此介绍待更新。& & & & & A,分析BLOCK RAM原理,采用独立BRAM块接入上述VGA控制器作为独立缓存(区别于视频25所用的语言描述的RAM)(时长48分)。& & & & & B,使用AXI 接口的BLOCK RAM控制器接BRAM,在PS里实现数据读写,达到通过共享BRAM实现PL与PS交换数据(时长56分)。&视频27:PS编程实现VGA图像显示(时长43分)。已完成,此介绍待更新。&& & & & & &&编号50以及后续的编号将只对售后提供,现在暂时开放下载,可进群下载。&视频50,贯穿PL和PS以及驱动的嵌入式LINUX系统实现。以一个小项目为例,分成三个小视频分别展开详细分析。已完成,此介绍待更新。& & & & &A,PL逻辑的编写,生成BIT流配置文件,结合FSBL和U-BOOT最终生成BOOT.BIN.(时长45分钟)& & & & &B,驱动程序的编写以及动态挂载到LINUX系统.(时长55分钟)& & & & &C,用户程序的编写以及调用驱动程序进行试验.(时长30分钟)& & & & &D,驱动程序静态编入内核的分析以及实现(时长54分钟)。& &&&&发货清单:1,ZEDBOARD全兼容主板一个。2,12v 2A电源变换器一个。3,MICRO USB线两根(一根连接USB串口做终端,一根可以做下载调试线)。4,MICRO USB转 OTG线一根(用于做USB OTG试验,比如外接鼠标或者键盘)5,8G SD卡,原装闪迪品牌高质量。6,SD卡读卡器一个。7,1米千兆(兼容M/10M)以太网连接线 。&本产品一律发顺丰快递航空件。&&&&&
登陆去以下购物网站购买商品可获得现金返利和积分
¥856 元已售出:116人收货件
¥704 元已售出:93人收货件
¥187 元已售出:91人收货件
¥769 元已售出:81人收货件
¥537 元已售出:80人收货件
评论获取中.....
店铺的“宝贝与描述相符”得分
评论评价人
mcupro热销商品
经营性网站备案信息
不良信息举报中心
网络110报警服务
中&&&&&国互联网协会
|||||||||广告联系QQ:|
Copyright (C)
经营许可证编号:All Rights Reserved 版权所有zynq 7000 SOC(44)
1,有网友说在/etc/fstab里 加上mount 的内容即可,上电就会自动挂载。亲测不行!!!!!,原因是fstab不是启动文件。
2,解决思路是在/etc/init.d/rcS里加上mount指令,亲测可行。因为rcS文件上电就会执行。
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:102786次
积分:2465
积分:2465
排名:第17322名
原创:135篇
转载:84篇
评论:15条
(8)(12)(10)(26)(9)(9)(15)(31)(24)(28)(7)(12)(10)(5)(8)(5)zedboard系统移植_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
zedboard系统移植
阅读已结束,下载文档到电脑
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,方便使用
还剩3页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢> > > zedboard从SD卡启动linaro系统第一分区的文件zedboard从SD卡启动linaro系统第一分区的文件已有 445510个资源编辑推荐相关资源下载专区上传者其他资源嵌入式系统热门资源本周本月全部文档信息资源大小:2.71MB上 传 者: () 上传日期:资源类型:源码资源积分:2分评
论:下载次数:2参与讨论:标&&&&签:分&&&&享:文档简介zedboard从SD卡启动linaro系统第一分区的文件文件列表.BMP----|000005.BMP----|000010.BMP----|000024.BMP----|000025.BMP----|000027.BMP----|000039.BMP----|000059.BMP----|000068.BMP----|000074.BMP----|000078.BMP----|000092.BMP----|000108.BMP----|000109.BMP----|000113.BMP----|000122.BMP----|Thumbs.db.NET Compact Framework .dep----|PWM.o.d----|lcd_lib.o.d----|main.o.d----|twi_i2c.o.d.deps----|ControlResource.Po----|DCU99Editor.Po----|DuplexEditor.Po.eclipseme.tmp----|emulation----|----|PhoneBook.jad----|----|PhoneBook.jarverified----|classes----|----|com----|----|----|north----|----|----|----|phonebook----|----|----|----|----|model----|----|----|----|----|----|Account.class----|----|----|----|----|----|ApplicationException.class----|----|----|----|----|----|Index.class----|----|----|----|----|----|Model$AccountFilter.class----|----|----|----|----|----|Model$IndexFilter.class----|----|----|----|----|----|Model.class----|----|----|----|----|----|Preference.class----|----|----|----|----|----|UserModel.classui----|ConfirmationDialog.class----|DetailInfoUI.class----|Dialog.class----|DialogListener.class----|IndexFunctionUI$ListIndex.class----|IndexFunctionUI.class----|ListMerchantGroupUI.class----|ListPhoneUI.class----|LoginUI.class----|MerchantGroupDetailInfoUI.class----|NewPhoneUI.class----|SearchPhoneUI.class----|Title.class----|UIController$1.class----|UIController$EventID.class----|UIController.class----|WaitCanvas$1.class----|WaitCanvas.class----|WelcomeUI.classPhoneBookMIDlet.class.kde----|share----|----|apps----|----|----|RecentDocuments----|----|----|----|host24.kdevprj.desktop.kdevelop-doc.metadata----|.plugins----|----|org.eclipse.core.resources----|----|----|.projects----|----|----|----|Nios II Device Drivers----|----|----|----|----|.location----|----|----|----|----|.propertieshello_led_0----|.markers----|.propertieshello_led_0_syslib----|.markers----|.properties.root----|112.tree.safetable----|org.eclipse.core.resourcesorg.eclipse.core.runtime----|.settings----|----|org.eclipse.cdt.core.prefs----|----|org.eclipse.cdt.ui.prefs----|----|org.eclipse.ui.prefsorg.eclipse.ui.workbench----|dialog_settings.xml----|workbench.xml.bak_0.log.bak_1.log.bak_2.log.bak_3.log.lock.logversion.ini.myeclipse----|CVS----|----|Repository----|----|Root.netkuangjia.settings----|CVS----|----|Entries----|----|Repository----|----|Rootorg.eclipse.core.resources.prefs.sopc_builder----|install.ptf.svn----|prop-basepropstext-base----|readme.txt.svn-basetmp----|prop-basepropstext-baseall-wcpropsdir-prop-baseentriesformat.tmp_versions----|dw4002.modgood linaro----|BOOT.BIN----|devicetree_linaro.dtb----|logo.bin----|zImage. Online calibration of Nyquist-rate analog-to-digital converters.pdf..dir.dat.DS_Store.NET Compact Framework mpact Framework ._.DS_Store._CotEditor_346._INSTALL._MacOS_Setup._PortVaR.actionScriptProperties.advancedproject.apriori_config.apusicproject.ccsproject.checkstyle.classpath.config.o.flags.cproject.cvsignore.cxl.depend.dw4002.ko.cmd.dw4002.mod.o.cmd.dw4002.o.cmd.dwg.epautoconf.o.flags.exe.file_storage.o.flags.flexProperties.gitignore.hg_archival.txt.htaccess.indent.pro.lso.message.pdf.project.qmake.internal.cache.reg文件全攻略.txt.screenrc.synopsys_dc.setup.synopsys_pt.setup.untf.usbstring.o.flags.vimrc.xhdl3.xref相关帖子大学堂最新课程请选择理由 辱骂 色情 广告提交若举报审核通过,可奖励2下载分举报人:被举报人:jiull举报的资源分:2* 类型:请选择类型资源无法下载资源分类不正确资源无法使用标题与实际内容不符含有危害国家安全内容含有反动色情等内容含广告内容版权问题,侵犯个人或公司的版权其他* 详细原因:回到顶部EEWORLD下载中心所有资源均来自网友分享,如有侵权,请发送举报邮件到客服邮箱bbs_.cn 或通过站内短信息或QQ:联系管理员 高进,我们会尽快处理。&这一阵子一直在看LINUX驱动和内核方面的书,有关ZEDBOARD的资料已经好久没看了,刚好队友的算法已经看的差不多了,需要在ZEDBOARD上实现算法,所以自己就想拾起以前的东西,不过看来看去倍感陌生啊!^_^&&&
本篇主要记录笔者在zedboard上是如何进行配置网络并通过ftp协议来访问开发板,并提出了如何在开发板上挂载FTP服务器的问题。
&&&&硬件:zedboard开发板,USB&Cable线,双绞线,SD卡
系统:WIN7-SP1-64BIT
可访问的FTP服务器地址,笔者的FTP服务器地址为10.0.5.118
硬件连接方法:
1)、使用USB&Cable线将主机和zedboard(通过USB-UART口)连接起来&
2)、在SD卡中拷贝LINUX启动文件,下载Linux&Hardware&Design&for&ISE&14.4,网址
解压缩后将SD_image中的文件全部拷贝到SD卡上
3)、按照ZEDBOARD的说明,设置启动引脚
&&&&&&&&4)、连接电源
5)、通过双绞线将zedboard连接到局域网中
6)、打开电源
2、运行超级终端,配置串口参数,N1,将主机和ZEDBOARD连接起来
3、成功启动后如图1所示:
& & & & & & & & & & & 图1
在终端zynq&中输入ifconfig查看本机的IP配置,
& & & & & & & & & & 图2
默认情况如图2所示,digilent官方将IP配置为静态地址192.168.1.10,如果想要将zedboard连接上网络的话,我们可以利用LINUX下的网络配置方法,设置静态IP地址或者使用DHCP进行动态分配IP地址(这个笔者因为没有这个环境,故以静态IP地址为例),使其连接到internet上。
3、设置静态IP地址
在终端中按如下格式设置ip地址和子网掩码
ipconfig&eth0&静态IP地址&netmask&255.255.255.0
静态IP地址为读者自己的IP地址,子网掩码一般情况下都是255.255.255.0。这样就设置好了IP地址,同理可以使用ifconfig查看下设置是否成功,如图3所示,
& & & & & & & & & &图3
可以看出,设置成功,下一步我们需要为其设置网关,让其可以知道怎么出去。
4、设置网关
默认情况下,路由表如图4所示:
& & & & & & & & 图4
可以看出,网关Gateway的值为*,即默认的0.0.0.0,经过第三步的设置我们现在可以查看下系统为我们设置的默认网关为多少,在命令行中输入route,如图5所示:
& & & & & & & & &图5
可以看到默认情况下,系统的网关为0.0.0.0,可是我们想要连上互联网,一般情况下这样是不行的,那么怎么办呢,还是老方法,设置默认网关,更改成可以出去的网关地址,以10.0.5.1为例,设置方法如下:
route&add&default&gw&10.0.5.1
完成后查看设置是否生效,输入命令route&-n,具体如图6所示
& & & & & & & & 图6
说明设置成功,此时我们可以先ping下百度,查看是否连通,具体如图7所示,可以看到此时仍然无法ping通,这是为何呢?
& & & & & & & & & 图7
5、配置DNS服务器
这就涉及到计算机网络方面的知识了,ping的时候需要有一个域名服务器DNS,将字符串形式的IP解析成计算机可以理解的IP地址,这样才能够使得两台不同IP地址的计算机进行通信,而linux下域名服务器的设置是通过系统配置文件resolv.conf来读取数据的,我们切换到/etc下,使用ls查看文件,如图8所示,
& & & & & & &图8
可以看出在此嵌入式linux中并没有这个文件,不要紧,我们使用命令vi&resolv.conf来新建一个,进入后先按i键进入编辑模式,如图9所示进行编辑域名服务器,
& & & & & & & & & 图9
推荐使用图9所示的DNS配置,8.8.8.8为谷歌的DNS服务器地址,当然如果你知道DNS服务器地址,可以将其8.8.8.8替换成你的DNS服务器地址即可。编辑完成后,按下ESC键退出编辑模式,然后输入:wq退出。
此时,可以再ping下百度,如图10所示:
& & & & & & & & & &图10
可以看出已经可以ping通了,另外www.baidu.com后面的括号中的IP地址即为百度的服务器地址,说明DNS服务器解析地址成功。最后一步我们需要连接到FTP服务器上,并通过FTP服务器来下载必需的文件等。
6、连接FTP服务器
在Digilent中已经集成了FTP守护进程,故我们可以方便的使用FTP来访问开发板,方法如下:
在windows的命令行上输入
ftp&10.0.5.16(此处为笔者的zedboard开发板IP地址,请读者按自己的实际情况更换地址)
输入完成之后,会弹出一个窗口,请输入用户名,直接输入root即可:
如图11所示:
& & & & & &图11
可以看到,已经挂载完成,输入ls,查看是否已经可以访问文件系统,但是这个只是在主机里面来挂载zedboard,笔者想能不能在zedboard里面来挂载FTP服务器呢??在上述的终端中输入bye断开连接,然后下载ftp软件,交叉编译,并将需要的动态库拷贝到开发板的lib库中,发现了一个问题,如图12所示
& & & & & & & & & & & & & & & & &图12
这个是因为根文件系统只有8M,而我们的动态库的大小超过了文件系统,这个问题留待下一节解决,敬请期待!
与非门科技(北京)有限公司 All Rights Reserved.
京ICP证:070212号
北京市公安局备案编号: 京ICP备:号}

我要回帖

更多关于 linux u盘自动挂载 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信