的电源时序控制器电路中为什么要设置启停控制逻辑

> 问题详情
计算机的时序电路中为什么要设置启停控制逻辑?该电路如何实现启停控制?
悬赏:0&答案豆
提问人:匿名网友
发布时间:
计算机的时序电路中为什么要设置启停控制逻辑?该电路如何实现启停控制?请帮忙给出正确答案和分析,谢谢!
您可能感兴趣的试题
1下列为CPU存取速度的比较,正确的是( )。A.Cache&寄存&寄存器B.Cache&寄存器&内存C.寄存器&Cache&内存D.寄存器&内存&Cache请帮忙给出正确答案和分析,谢谢!2计算机系统的层次结构可以分为6层,其层次之间的依存关系是( )。A.上下层之间相互无关B.上层实现对下层的功能扩展,而下层是实现上层的基础C.上层实现对下层的扩展作用,而下层对上层有限制作用D.上层和下层的关系是相互依存、不可分割的请帮忙给出正确答案和分析,谢谢!3一个8位的计算机系统以16位来表示地址,则该计算机系统有( )个地址空间。A.256B.65535C.65536D.131072请帮忙给出正确答案和分析,谢谢!4说明IBM SP2机群在体系结构上的特点。请帮忙给出正确答案和分析,谢谢!
我有更好的答案
请先输入下方的验证码查看最佳答案
图形验证:
验证码提交中……
每天只需0.4元
选择支付方式
支付宝付款
郑重提醒:支付后,系统自动为您完成注册
请使用微信扫码支付(元)
支付后,系统自动为您完成注册
遇到问题请联系在线客服QQ:
恭喜你被选中为
扫一扫-免费查看答案!
请您不要关闭此页面,支付完成后点击支付完成按钮
遇到问题请联系在线客服QQ:
恭喜您!升级VIP会员成功
提示:请截图保存您的账号信息,以方便日后登录使用。
常用邮箱:
用于找回密码
确认密码:时序电路报告_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
时序电路报告
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢豆丁微信公众号
君,已阅读到文档的结尾了呢~~
时序电路实验实验,时序,电路,时序电路,电路实验,电路时序
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
时序电路实验
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口【图文】计算机组成原理第五章 第3讲 时序产生器和控制方式_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
计算机组成原理第五章 第3讲 时序产生器和控制方式
&&计算机组成原理第五章
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢实验三_时序部件-海文库
全站搜索:
您现在的位置:&>&&>&计算机硬件及网络
实验三_时序部件
3 时序部件实验 ............................................................................................................................... 13.1、实验目的 .......................................................................................................................... 13.2、实验原理 .......................................................................................................................... 13.3、通用实验步骤 .................................................................................................................. 53.4、启停逻辑电路(观察实验现象并记录相应结果) ...................................................... 5(一)输入输出规则对应: ........................................................................................... 5(二)实验步骤及现象结果记录 ................................................................................... 63.5、节拍序列发生器(观察实验现象并记录相应结果) .................................................. 7(一)输入输出规则对应: ........................................................................................... 7(二)实验步骤及现象结果记录 ................................................................................... 73.6、思考题 .............................................................................................................................. 7
3 时序部件实验3.1、实验目的1、加深理解计算机控制器中,时序控制部件的基本组成和工作原理。2、掌握启停逻辑电路、节拍脉冲发生器的工作原理及设计方法。3、了解启停逻辑电路、节拍脉冲发生器等电路的结构特点。3.2、实验原理时序部件用来产生计算机在执行机器指令过程中的时序信号。计算机在工作过程中是一个指令周期接一个指令周期,在一个指令周期内部是一个机器周期接一个机器周期,在一个机器周期内部是一个节拍接一个节拍的工作。在各条不同指令的不同机器周期的不同节拍中应产生什么微操作控制信号是由指令操作流程图严格的规定的,所以时序部件要产生各个机器周期中的节拍信息。时序部件通常由脉冲源、节拍电位发生器和启停逻辑三部分构成。本实验中主要讨论启停逻辑电路和节拍电位发生器。1、启停电路启停逻辑电路的作用是对脉冲源产生的主频脉冲进行完整、有效地控制,保证计算机时序电路能准确地启动和停止。计算机启停的标志是节拍电位和工作脉冲的有无。控制工作脉冲按一定的时序发生和停止,不能简单地用电源开关来实现。为了使计算机可靠地工作,要求启停电路在计算机启动或停机时,保证每次从规定的第一个脉冲启动,到最后一个脉冲结束才停机,并且必须保证第一个和最后一个脉冲的波形完整。简单的启停电路如图3.1所示。
图3.1 简单的启停电路图中m’为主频脉冲,Q 为启停触发器输出端,m为工作脉冲。如果只使用一个触发器来控制主频脉冲的输出,由于停机触发器置‘1’的状态是随机的,它的出现和消失很可能正好处于主频脉冲的高电位期间,这样它的输出也就可能会使第一个脉冲或最后一个脉冲不完整。维持阻塞原理:增加一个维持-阻塞触发器,可以保证第一个和最后一个工作脉冲的完整。改进后的维持-阻塞触发器的逻辑电路原理图如图3.2所示。
图3.2 利用维持阻塞原理的启停电路启动时,可将不完整的波形阻塞。停机时,可维持最后一个波形完整。计算机上电后会立即产生一定频率的主时钟,这并不意味着计算机已经开始工作。只有通过启停逻辑部件将机器启动起来,时序部件才开始产生节拍信息,以控制全机开始工作。两种常用的控制启停的方案如图3.3所示。
(b)图3.3 启停逻辑控制方式采用图3.3(a)方案时,机器上电后只产生主时钟?,节拍信号发生器不工作,待启停控制逻辑有效将机器启动后,节拍信号发生器才开始工作,顺序产生机器操作所需的节拍电位信号(T1~Tn)。采用图3.3(b)方案时,机器上电后只产生主时钟?和节拍电位信号(T1~Tn),但是它们并不能控制机器开始工作,待启停控制逻辑有效后,才能产生控制机器操作的节拍信号(T1~Tn)。实验内容的启停控制电路如图3.3(b)所示方案,具体结构图如图3.4所示。CLR启动停止图3.4 时序部件结构图从图3.4可以看出,启停控制逻辑的主体是一个D型触发器C1和一个由两个与非门(YF1和YF2)构成的R-S型触发器,机器上电时由上电产生(或者按下“复位”按钮后)的负的复位信号CLR将C1触发器和R-S触发器置’0’态,同时D触发器C1的Q端输出低电平,关闭与门Y1~Y4,由节拍信号发生器产生的T1’~T4’暂时无法输出(松动复位按钮就会有输出),机器保持停机状态。待“启动”按钮被按下,产生一个负的启动脉冲,R-S型触发器置’1’,C1的D端呈现高电平,在T4’有效期间的上升沿将C1触发器置’1’,于是通过与门Y1~Y4 顺序产生节拍电位信号T1~T4,机器开始工作,连续执行机器指令。按下停机“按钮”后,产生一个负的停机脉冲,R-S型触发器回到’0’态,于是在最后一个节拍T4’有效期间由置C1为’0’,机器停止执行指令,完成启停控制功能。由启停逻辑电路产生的节拍电位波形图如图3.5所示。
图3.5 启停逻辑电路基本时序图由图3.5所示,将主频?分为四部分?1?2?3?4。?1中,T1~T4显示为1000,?2中,T1~T4显示为0100,?3中,T1~T4显示为0010,?4中,T1~T4显示为0001。这些现象均可以在实验中观察到。2、节拍脉冲发生器节拍脉冲信号形成部件又叫脉冲分配器,即按照指令周期和机器周期的要求产生不同频率、不同波形的工作脉冲和节拍电平,组合成规定的时标信号。
图3.6 节拍脉冲发生器由移位寄存器构成的节拍信号发生器如图3.6所示。从图中可以看出,4个D触发器构成一个移位寄存器。上电后脉冲源立即产生主时钟?,且由上电复位信号(CLR=0)将C4触发器置1,由第一个主时钟?1的上升沿经YF2置C1C2C3为0,因此移位寄存器的初始状态为0001,到?1的下降沿置C4为0,这时C1~C4的状态成为0000;到?2的上升沿通过YF1将C1C2C3置成100,而C4保持为0;到?3的上升沿,C1C2C3被置成110,C4仍保持为0不变;到?4的上升沿,置C4为1,C1~C4的状态为1111;到?5的上升沿通过YF2将C1~C3置成000,C1~C4重新回到0000状态,开始一个新的循环周期。产生各个机器周期的节拍波形图如图3.7所示。
图3.7 节拍信号形成过程3.3、通用实验步骤1、如果未安装(设置好)ByteBlaster,参照实验一的配置文件的安装。2、连接JTAG,并按下并口转接口的A按钮,打开电源。3、实验台上通过模式开关选择FPGA独立调试模式010。3.4、启停逻辑电路(观察实验现象并记录相应结果)(一)输入输出规则对应:1、主频?对应A15(当?为1时A15亮(大约1秒),当?为0时A15灭),D触发器的输出对应A14,R-S触发器的输出对应A13;2、节拍信号T4~T1对应A7~A4,节拍信号T4’~T1’对应A3~A0。根据维持阻塞的原理可知,在T1~T4 的任何期间启动控制逻辑,只有在T1~T4结束后,下一次循环开始时,才开始输出T1’~T4’;同理,停机时,T1’~T4’之间任何期间停机,只有在T1’~T4’本次循环结束时,才停止输出。通过拨动启动和停机信号体会维持阻塞的作用。3、CLR上电复位信号对应按实验台上的CPU复位按钮所产生的负脉冲。启动信号对应开关SD8,开关SD8的初始状态的为1(朝上)。需要产生负启动脉冲时,首先将开关SD8拨动向下,然后再将开关SD8拨动向上。停止信号对应开关SD9,开关SD9的初始状态的为1(朝上)。需要产生负停止脉冲时,首先将开关SD9拨动向下,然后再将开关SD9拨动向上。(二)实验步骤及现象结果记录1、将短路子DZ3断开且短路子DZ4短接,在使FPGA-CPU所需要的时钟使用连续时钟。并且将短路子DZ5短接,同时将DZ6~DZ8中的其他短路子断开,选择8MHz连续时钟作为启停逻辑电路的时钟。 2、打开Quartus-&tools-&programmer,将circuit.sof下载到FPGA中。注意进行programmer时,应在program/configure下的方框中打勾,然后下载。3、启停电路下载完成之后,首先按下CPU复位键,此时实验现象为停机状态;然后进行启动操作,在需要产生负启动脉冲时,使SD8开关执行1-0-1的操作;最后执行停止操作,在需要产生负启动脉冲时,使SD9开关执行1-0-1的操作。 通过拨动启动和停机信号可以体会到维持阻塞的作用。
4、观察现象结果,并把现象及结果值记录到上面表3.1中。为了方便记录,以表格形式即是:
3.5、节拍序列发生器(观察实验现象并记录相应结果)(一)输入输出规则对应:实验中的节拍序列发生器是一个8相节拍序列发生器波形图,如图3.8所示。输出对应A7~A0灯(?8~?1)。(二)实验步骤及现象结果记录1、将短路子DZ3短接且短路子DZ4断开,使节拍序列发生器所需要的时钟使用正单脉冲时钟。2、打开Quartus-&tools-&programmer,将sequence.sof下载到FPGA中。注意进行programmer时,应在program/configure下的方框中打勾,然后下载。3、按动单脉冲按钮,记录A7~A0灯的值(?8~?1),并绘制成时序波形图,与图3.8比对,看看单步的输出结果是否相符?clock?1?2?3?4?5?6?7?8图3.8
8相节拍序列发生器3.6、思考题1、有能力的同学可以试着自己编写各种发生器的源代码。在老师的帮助下,编译成功,将.sof文件下载到FPGA进行正确性验证。
上一篇: 下一篇:
All rights reserved Powered by
copyright &copyright 。文档资料库内容来自网络,如有侵犯请联系客服。}

我要回帖

更多关于 时序逻辑电路有哪些 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信