LCD1602液晶显示原理心形图案的几个问题

displayheart
说明:&&用1602显示心形,一般的1602液晶屏是怎么显示图案呢(adwadasdad)
文件列表:
显示心形同c\STARTUP.A51
显示心形同c\STARTUP.lst
显示心形同c\STARTUP.obj
显示心形同c\显示心形同C
显示心形同c\显示心形同C.c
显示心形同c\显示心形同C.hex
显示心形同c\显示心形同C.LST
显示心形同c\显示心形同C.M51
显示心形同c\显示心形同C.OBJ
显示心形同c\显示心形同C.Opt
显示心形同c\显示心形同C.plg
显示心形同c\显示心形同C.Uv2
显示心形同c\显示心形同C_Uv2.Bak
显示心形同c
近期下载者:
相关文件:51单片机_1602液晶自定义心形图案
13:44:06来源: 51hei 关键字:&&&&
unsigned char table1[]={0x03,0x07,0x0f,0x1f,0x1f,0x1f,0x1f,0x1f,
&&&&&&&&&&&&&&&&&&&&&&&& 0x18,0x1E,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,
&&&&&&&&&&&&&&&&&&&&&&&& 0x07,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,
&&&&&&&&&&&&&&&&&&&&&&&& 0x10,0x18,0x1c,0x1E,0x1E,0x1E,0x1E,0x1E,
&&&&&&&&&&&&&&&&&&&&&&&& 0x0f,0x07,0x03,0x01,0x00,0x00,0x00,0x00,
&&&&&&&&&&&&&&&&&&&&&&&& 0x1f,0x1f,0x1f,0x1f,0x1f,0x0f,0x07,0x01,
&&&&&&&&&&&&&&&&&&&&&&&& 0x1f,0x1f,0x1f,0x1f,0x1f,0x1c,0x18,0x00,
&&&&&&&&&&&&&&&&&&&&&&&& 0x1c,0x18,0x10,0x00,0x00,0x00,0x00,0x00};//心图案
#define&& CLEARSCREEN&& LCD_write_command(0x01)
/**************定义接口************************/
#define&& LCDIO&&& P0
sbit LCD1602_RS=P2^2;&&&&
sbit LCD1602_RW=P2^1;&&&
sbit LCD1602_EN=P2^0;&
/**************定义函数************************/
void LCD_write_command(unsigned char command);//写入指令函数
void LCD_write_dat(unsigned char dat);//写入数据函数
void LCD_set_xy( unsigned char x, unsigned char y );//设置显示位置函数
void LCD_dsp_char( unsigned x,unsigned char y,unsigned char dat);//显示一个字符函数
void LCD_dsp_string(unsigned char X,unsigned char Y,unsigned char *s);//显示字符串函数
void LCD_init(void);//初始化函数
void delay_nms(unsigned int n);//延时函数
/********************************************/
/************初始化函数****************/
void LCD_init(void)
CLEARSCREEN;//clear screen&
LCD_write_command(0x38);//set 8 bit data transmission mode&
LCD_write_command(0x0c);//open display (enable lcd display)
LCD_write_command(0x80);//set lcd first display address&
CLEARSCREEN;//clear screen
/****************************************************/
/**************写指令函数********************************/&
void LCD_write_command(unsigned char command)
&&& LCDIO=
&&& LCD1602_RS=0;&&&
&&& LCD1602_RW=0;
&&& LCD1602_EN=0;
&&& LCD1602_EN=1;
&&& delay_nms(10);
/***************************************************/
/****************写数据函数************************/
void LCD_write_dat(unsigned char dat)
LCD1602_RS=1;
LCD1602_RW=0;
LCD1602_EN=0;
delay_nms(1);
LCD1602_EN=1;
/****************************************************/
/***************设置显示位置**************************/
void LCD_set_xy( unsigned char x, unsigned char y )
if (y == 1)&
&& address = 0x80 +
&&&&& address =0xc0+
LCD_write_command(address);&
/***************************************************/
/****************显示一个字符**********************/
void LCD_dsp_char( unsigned x,unsigned char y,unsigned char dat)
LCD_set_xy( x, y );&
LCD_write_dat(dat);
/**********************************************/
/***************显示字符串函数***************/
void LCD_dsp_string(unsigned char X,unsigned char Y,unsigned char *s)
&&&& LCD_set_xy( X, Y );&
&&&& while (*s)&
&&&&&& LCD_write_dat(*s);&&&
&&&&&& s ++;
/***********************************************/
/********** 延时**********************/
void delay_nms(unsigned int n)&&&&&&
&&&& unsigned int i=0,j=0;
&&&& for (i=n;i>0;i--)
&&&& for (j=0;j<10;j++);&
/**************************************/
/***********主函数**************/
void main(void)
unsigned char i,j,k,
LCD_init();
delay_nms(100);
tmp=0x40;//设置CGRAM地址的格式字
for(j=0;j<8;j++)
&&&&& for(i=0;i<8;i++)
&&&&&&&& LCD_write_command(tmp+i); // 设置自定义字符的 CGRAM 地址&
&&&&&&&& delay_nms(2);
&&&&&&&& LCD_write_dat(table1[k]); // 向CGRAM写入自定义字符表的数据
&&&&&&&& k++;
&&&&&&&& delay_nms(2);
&&&&& tmp=tmp+8;
&& LCD_dsp_string(1,1,"heart:&&&&& ");//在第一行第一列显示&heart&
&& for (i=0;i<4;i++)
&&&&&& LCD_dsp_char( 10+i,1,i);//在第一行第10列位置显示心图案的上半部
&&&&&& delay_nms(1);
&& for (i=4;i<8;i++)
&&&&&& LCD_dsp_char( 10+i-4,2,i);//在第二行第10列位置显示心图案的下半部
&&&&&& delay_nms(1);
&& while (1);
/************************&& 感谢陈青华老师的帮助********************************************/
关键字:&&&&
编辑:什么鱼
引用地址:
本网站转载的所有的文章、图片、音频视频文件等资料的版权归版权所有人所有,本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如果本网所选内容的文章作者及编辑认为其作品不宜公开自由传播,或不应无偿使用,请及时通过电子邮件或电话通知我们,以迅速采取适当措施,避免给双方造成不必要的经济损失。
关注eeworld公众号快捷获取更多信息
关注eeworld服务号享受更多官方福利
网友正在学习IC视频
EEWORLD网友正在观看&&视频
EEWORLD网友正在观看&&视频
EEWORLD网友正在观看&&视频
EEWORLD网友正在观看&&视频
EEWORLD网友正在观看&&视频
相关关键词
热门关键词
大学堂最新课程
汇总了TI汽车信息娱乐系统方案、优质音频解决方案、汽车娱乐系统和仪表盘参考设计相关的文档、视频等资源
热门资源推荐
频道白皮书
何立民专栏
北京航空航天大学教授,20余年来致力于单片机与嵌入式系统推广工作。LCD1602液晶显示模块深入详解篇一
时间: 22:04:38
&&&& 阅读:1575
&&&& 评论:
&&&& 收藏:0
标签:&&&&&&&&&&&&&&&&&&&&&&&&&&&(本文以HD44780主控芯片的LCD1602为蓝本进行描述,其中的截图也来自HD44780数据手册,用户可自行搜索其datasheet,有部分整理网上的,但绝对要比你看到的要深入得多)
& & & & &LCD1602是很多单片机爱好者较早接触的字符型液晶显示器,它的主控芯片是HD44780或者其它兼容芯片。刚开始接触它的大多是单片机的初学者。由于对它的不了解,不能随心所欲地对它进行驱动。经过一段时间的学习,我对它的驱动有了一点点心得,今天把它记录在这里,以备以后查阅。与此相仿的是LCD12864液晶显示器,它是一种图形点阵显示器,能显示的内容比LCD1602要丰富得多,除了普通字符外,还可以显示点阵图案,带有汉字库的还可以显示汉字,它的并行驱动方式与LCD1602相差无几,所以,在这里花点时间是值得的。
& & &一般来说,LCD1602有16条引脚,据说还有14条引脚的,与16脚的相比缺少了背光电源A(15脚)和地线K(16脚)。我手里这块LCD1602的型号是不知道是哪家的(知道也不告诉你,博客园不允许打广告的),如图1所示:
再来一张它的背面的,如图2所示:
它的16条引脚定义如下:
对这个表的说明:
1.&&&&VSS接电源地。
2.&&&&VDD接+5V。
3.&&&&VO是液晶显示的偏压信号,可接10K的3296精密电位器。或同样阻值的RM065/RM063蓝白可调电阻。见图3。
4.&&&&RS是命令/数据选择引脚,接单片机的一个I/O,当RS为低电平时,选择命令;当RS为高电平时,选择数据。
5.&&&&RW是读/写选择引脚,接单片机的一个I/O,当RW为低电平时,向LCD1602写入命令或数据;当RW为高电平时,从LCD1602读取状态或数据。如果不需要进行读取操作,可以直接将其接VSS。
6.&&&&E,执行命令的使能引脚,接单片机的一个I/O。
7.&&&&D0—D7,并行数据输入/输出引脚,可接单片机的P0—P3任意的8个I/O口。如果接P0口,P0口应该接4.7K—10K的上拉电阻。如果是4线并行驱动,只须接4个I/O口。
8.&&&&A背光正极,可接一个10—47欧的限流电阻到VDD。
9.&&&&K背光负极,接VSS。见图4所示。
二.基本操作
LCD1602的基本操作分为四种:
1.&&&&读状态:输入RS=0,RW=1,E=高脉冲。输出:D0—D7为状态字。
2.&&&&读数据:输入RS=1,RW=1,E=高脉冲。输出:D0—D7为数据。
3.&&&&写命令:输入RS=0,RW=0,E=高脉冲。输出:无。
4.&&&&写数据:输入RS=1,RW=0,E=高脉冲。输出:无。
读操作时序图(如图5):
写操作时序图(如图6):
(总结一下:实际编程驱动时,共有10根信号需要驱动:并行数据为8位(D0-D7),程序通过这8位数据线控制或读取LCD1602模块的显示或状态,这样就需要另一根信号线标识当前是写操作还是读操作的信号线,即RW【Read/Write】,至于写进LCD1602模块的是数据还是指令,就靠信号线RS【Register Select】来控制,字面意思就是“寄存器选择”,实际就是通过RS信号控制当前的8位数据是写进“数据寄存器Data Register/DR”,还是“指令寄存器Instruction Register/IR”,如果是指令,就需要经过“指令解码Instruction Decoder”,如果是数据,就直接写进DDRAM或CGRAM,这样恰好就10根信号线:D0-D7、RW、RS。
你问我怎么会知道需要解码什么的?HD44780数据手册是这么写的,其实我都不需要写什么博文了,把英文数据手册一页页贴上就行了,犯得着吗我。看下面这张图就知道了)
时序时间参数(如图7):
(总结一下:时序时间参数的意义,在编程上面的具体表现就是“延时”,Delay函数,因为LCD1602内部也是芯片,是芯片就会有反应时间,如果速度过快,内部芯片就采集不到数据,其实最主要的还是E信号,从时序上看好像是高低电平锁存信号的,实质上E信号是下降沿触发时钟,而不是锁存器Latch的使能EN信号)
三.DDRAM、CGROM和CGRAM
DDRAM(Display Data RAM)就是显示数据RAM,用来寄存待显示的字符代码。共80个字节,其地址和屏幕的对应关系如下(如图8):
DDRAM相当于计算机的显存,我们为了在屏幕上显示字符,就把字符代码送入显存,这样该字符就可以显示在屏幕上了。同样LCD1602共有80个字节的显存,即DDRAM。但LCD1602的显示屏幕只有16×2大小,因此,并不是所有写入DDRAM的字符代码都能在屏幕上显示出来,只有写在上图所示范围内的字符才可以显示出来,写在范围外的字符不能显示出来。这样,我们在程序中可以利用下面的“光标或显示移动指令”使字符慢慢移动到可见的显示范围内,看到字符的移动效果。
前面说了,为了在液晶屏幕上显示字符,就把字符代码送入DDRAM。例如,如果想在屏幕左上角显示字符‘A’,那么就把字符‘A’的字符代码41H写入DDRAM的00H地址处即可。至于怎么写入,后面会有说明。那么为什么把字符代码写入DDRAM,就可以在相应位置显示这个代码的字符呢?我们知道,LCD1602是一种字符点阵显示器,为了显示一种字符的字形,必须要有这个字符的字模数据,什么叫字符的字模数据,看看下面的这个图就明白了(如图9)。
上图的左边就是字符‘A’的字模数据,右边就是将左边数据用“○”代表0,用“■”代表1。从而显示出‘A’这个字形。从下面的图可以看出,字符‘A’的高4位是0100,低4位是0001,合在一起就是b,即41H。它恰好与该字符的ASCII码一致,这样就给了我们很大的方便,我们可以在PC上使用P2=‘A’这样的语法。编译后,正好是这个字符的字符代码。
在LCD1602模块上固化了字模存储器,就是CGROM和CGRAM,HD44780内置了192个常用字符的字模,存于字符产生器CGROM(Character Generator ROM)中,另外还有8个允许用户自定义的字符产生RAM,称为CGRAM(Character Generator RAM)。下图(如图12)说明了CGROM和CGRAM与字符的对应关系。从ROM和RAM的名字我们也可以知道,ROM是早已固化在LCD1602模块中的,只能读取;而RAM是可读写的。也就是说,如果只需要在屏幕上显示已存在于CGROM中的字符,那么只须在DDRAM中写入它的字符代码就可以了;但如果要显示CGROM中没有的字符,比如摄氏温标的符号,那么就只有先在CGRAM中定义,然后再在DDRAM中写入这个自定义字符的字符代码即可。和CGROM中固化的字符不同,CGRAM中本身没有字符,所以要在DDRAM中写入某个CGROM不存在的字符,必须在CGRAM中先定义后使用。程序退出后CGRAM中定义的字符也不复存在,下次使用时,必须重新定义。
上面这个图(如图10)说明的是5×8点阵和5×10点阵字符的字形和光标的位置。先来说5×8点阵,它有8行5列。那么定义这样一个字符需要8个字节,每个字节的前3个位没有被使用。例如,定义摄氏温标的符号{0x10,0x06,0x09,0x08,0x08,0x09,0x06,0x00}。
上面这个图(如图11)说明的是设置CGRAM地址指令。从这个指令的格式中我们可以看出,它共有aaaaaa这6位,一共可以表示64个地址,即64个字节。一个5×8点阵字符共占用8个字节,那么这64个字节一共可以自定义8个字符。也就是说,上面这个图的6位地址中的DB5DB4DB3用来表示8个自定义的字符,DB2DB1DB0用来表示每个字符的8个字节。这DB5DB4DB3所表示的8个自定义字符(0--7)就是要写入DDRAM中的字符代码。我们知道,在CGRAM中只能定义8个自定义字符,也就是只有0—7这8个字符代码,但在下面的这个表(如图12)中一共有16个字符代码(××××0000b--××××1111b)。实际上,如图所示,它只能表示8个自定义字符 (××××0000b=××××1000b, ××××0001b=××××1001b……依次类推)。也就是说,写入DDRAM中的字符代码0和字符代码8是同一个自定义字符。 5×10点阵每个字符共占用16个字节的空间,所以CGRAM中只能定义4个这样的自定义字符。
那么如何在CGRAM中自定义字符呢?在上面的介绍中,我们知道有一个设置CGRAM地址指令,同写DDRAM指令相似,只须设置好某个自定义字符的字模数据,然后按照上面介绍的方法,设置好CGRAM地址,依次写入这个字模数据即可。我们在后面的例子中再进行说明。
四.LCD1602指令
1.工作方式设置指令(如图13)
×:不关心,也就是说这个位是0或1都可以,一般取0。
DL:设置数据接口位数。
DL=1:8位数据接口(D7—D0)。
DL=0:4位数据接口(D7—D4)。
N=0:一行显示。
N=1:两行显示。
F=0:5×8点阵字符。
F=1:5×10点阵字符。
说明:因为是写指令字,所以RS和RW都是0。LCD1602只能用并行方式驱动,不能用串行方式驱动。而并行方式又可以选择8位数据接口或4位数据接口。这里我们选择8位数据接口(D7—D0)。我们的设置是8位数据接口,两行显示,5×8点阵,即0b也就是0x38。(注意:NF是10或11的效果是一样的,都是两行5×8点阵。因为它不能以两行5×10点阵方式进行显示,换句话说,这里用0x38或0x3c是一样的)。
2.显示开关控制指令(如图14)
D=1:显示开,D=0:显示关。
C=1:光标显示,C=0:光标不显示。
B=1:光标闪烁,B=0:光标不闪烁。
说明:这里的设置是显示开,不显示光标,光标不闪烁,设置字为0x0c。
3.进入模式设置指令(如图15、16)
I/D=1:写入新数据后光标右移。
I/D=0:写入新数据后光标左移。
S=1:显示移动。
S=0:显示不移动。
说明:这里的设置是0x06。
4.光标或显示移动指令(如图17、18)
说明:在需要进行整屏移动时,这个指令非常有用,可以实现屏幕的滚动显示效果。初始化时不使用这个指令。
5.清屏指令(如图19)
说明:清除屏幕显示内容。光标返回屏幕左上角。执行这个指令时需要一定时间。
6.光标归位指令(如图20)
说明:光标返回屏幕左上角,它不改变屏幕显示内容。
7.设置CGRAM地址指令(如图21)
说明:这个指令在上面已经介绍过。用法在后面例子中说明。
8.设置DDRAM地址指令(如图22)
说明:这个指令用于设置DDRAM地址。在对DDRAM进行读写之前,首先要设置DDRAM地址,然后才能进行读写。前面我们说过,DDRAM就是LCD1602的显示存储器。我们要在它上面进行显示,就要把要显示的字符写入DDRAM。同样,我们想知道DDRAM某个地址上有什么字符,也要先设置DDRAM地址,然后将它读出到单片机。
9.读忙信号和地址计数器AC(如图23)
说明:这个指令用来读取LCD1602状态。对于单片机来说,LCD1602属于慢速设备。当单片机向其发送一个指令后,它将去执行这个指令。这时如果单片机再次发送下一条指令,由于LCD1602速度较慢,前一条指令还未执行完毕,它将不接受这新的指令,导致新的指令丢失。因此这条读忙指令可以用来判断LCD1602是否忙,能否接收单片机发来的指令。当BF=1,表示LCD1602正忙,不能接受单片机的指令;当BF=0,表示LCD1602空闲,可以接收单片机的指令。RS=0,表示是指令;RW=1,表示是读取。这条指令还有一个副产品:即可以得到地址记数器AC的值(address counter)。LCD1602维护了一个地址计数器AC,用来记录下一次读写CGRAM或DDRAM的位置。需要强调的是:这条指令我一次也没有执行成功。很多网友似乎也是这样。好在我们有另外的办法,也就是延时。通过查看每条指令的执行时间,再经过一些试验,可以确定指令的延时。这样就可以在上一条指令执行完毕后再执行下一条指令了。
10.写数据到CGRAM或DDRAM指令(如图24)
说明:RS=1,数据;RW=0,写。指令执行时,要在DB7—DB0上先设置好要写入的数据,然后执行写命令。
11.从CGRAM或DDRAM读数据指令(如图25)
说明:RS=1,数据;RW=1,读。先设置好CGRAM或DDRAM的地址,然后执行读取命令。数据就被读入后DB7—DB0。标签:&&&&&&&&&&&&&&&&&&&&&&&&&&&原文地址:http://www.cnblogs.com/sunshine-jackie/p/6953463.html
&&国之画&&&& &&&&chrome插件
版权所有 京ICP备号-2
迷上了代码!一心一意是世界上最温柔的力量。
【51单片机】1602自定义字符原理及示例(”汉“、爱心及摄氏度示例)
设置1602屏幕的对比度的时候我们能够看到5*8的点阵,其实液晶显示的都是字符的字模(下图),而CGROM里面都是已经定义好的,只能读不能写,而RAM是可以读写的所以我们只要将我们自定义的字符字模写入对应的CGRAM中就好,CGRAM一共有8个对应的地址分别为(0x40,0x48,0x50,0x58,0x60,0x68,0x70,0x78),因为每个字模对应8行所以每写完一个字模以后要加8,按照指令写入CGRAM时需要加0x40所以对应地址就如上了,然而其实CGRAM的实际地址是0x00-0x07所以在后面写入数据的时候需要按照实际地址来写入。CGROM中的字模也是同样的原理
字模代码:1602显示模式为5*7点阵型,字模代码对应于字模图形的8行,每行的前三位都是0,最后一行都是0x00,所以摄氏度的字符代码为:0x10,0x06,0x09,0x08,0x08,0x09,0x06,0x00
字模代码的获取可以用ZIMO221字模提取软件。
#include&reg52.h&
#include &string.h&
//strlen头文件
//为各不同位数变量类型定义自定义符号
#define uint8
unsigned char
#define uint16
unsigned short int
#define uint32
unsigned long int
#define int8
signed char
#define int16
signed short int
#define int32
signed long int
#define uint64
unsigned long long int
#define int64
signed long long int
//定义1602中一些常用的指令,方便不同的读者可以根据自己的修改
#define SETMODE
//16*2显示,5*7点阵,8位数据接口
#define DISOPEN
//显示开,不显示光标,光标不闪烁
#define DISMODE
//读写字符后地址加1,屏显不移动
#define SETADDR
//设置数据地址指针初始值
#define CLEAR
//清屏,数据指针清零
#define RET
//回车,数据指针清零
#define PORT
sbit RS = P3^5;
sbit E = P3^4;
sbit dula = P2^6;
sbit wela = P2^7;
void delay(uint16 time);
void Write1602_Com(uint8 com);
void Write1602_Dat(uint8 dat);
void Init1602(void);
void Write1602_Str(uint8 addr,uint8 length,uint8 *pbuf);
uint8 code xin[8]={
0x00,0x1B,0x1F,0x1F,0x1F,0x0E,0x04,0x00
uint8 code hot[8]={
//摄氏温度字模
0x10,0x06,0x09,0x08,0x08,0x09,0x06,0x00
uint8 code zuo[8]={
//汉的左部分字模
0x00,0x02,0x01,0x02,0x01,0x01,0x02,0x00
uint8 code you[8]={
//汉的右部分字模
0x00,0x1e,0x12,0x0c,0x0a,0x11,0x00,0x00
void main()
Init1602();
//初始化1602
//自定义CGRAM
Write1602_Str(0x40,8,hot);
//摄氏温标-&CGRAM的内存000中
Write1602_Str(0x48,8,zuo);
//汉的左部分-&CGRAM的内存001中
Write1602_Str(0x50,8,you);
//汉的右部分-&CGRAM的内存010中
Write1602_Str(0x58,8,xin);
//心-&CGRAM的内存011中
Write1602_Com(0x80);
//设置DDRAM地址,即显示的位置
for(i=0;i&8;i++)
Write1602_Dat(0);
for(i=0;i&8;i++)
Write1602_Dat(3);
Write1602_Com(0xc0);
for(i=0;i&16;i++)
Write1602_Dat(1+i%2);
void delay(uint16 time)
uint8 i,j;
for(i=i&0;i--)
for(j=0;j&110;j++);
void Init1602(void)
//关闭数据管的显示,因为我的51对应的是数据管的输入
//所以需要锁存器锁定来关闭影响
Write1602_Com(SETMODE);
//模式设置
Write1602_Com(DISOPEN);
//显示设置
Write1602_Com(DISMODE);
//显示模式
Write1602_Com(CLEAR);
void Write1602_Dat(uint8 dat)
//端口赋值
void Write1602_Com(uint8 com)
//端口赋值
void Write1602_Str(uint8 addr,uint8 length,uint8 *pbuf)
Write1602_Com(addr);
for(i=0;i&i++)
Write1602_Dat(pbuf[i]);
有点丑。。。。字模的问题,所以大家可以自己去设计。
1.设计自定义字符的字模并获取字符代码
2.在CGRAM对应的位置写入字符代码
3.在DDRAM中写入CGRAM对应的地址
没有更多推荐了,1602液晶显示自定义图片_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
享专业文档下载特权
&赠共享文档下载特权
&10W篇文档免费专享
&每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
1602液晶显示自定义图片
&&1602液晶显示心形图片
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢}

我要回帖

更多关于 1602 液晶显示 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信