3三位二进制编码器电路输入信号为I5时,输出Y3Y2Y1是

全国中小学“教学中的互联网搜索”优秀教学案例评选 教案设计

可见数字电路的应用已经遍及各个领域,用数字信号完成对数字量进行算术运算和逻辑运算的电路称为數字电路或数字系统。由于它具有逻辑运算和逻辑处理功能所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集荿器件构造而成逻辑门是数字逻辑电路的基本单元。

设置疑问:组合逻辑电路有什么特点如何来分析这样的电路?在实际生活中有什麼应用?

一、组合逻辑电路的特点

投影直观教授组合逻辑电路的特点并列举生活中的实例。 搜索图片:

汽车(讲解汽车的电子锁)

百度搜索视频:视频: LED汉字显示屏调试

总之组合逻辑电路的应用与我们的生活是息息相关的,在如今的数字时代对数字电路的学习和应用是至關重要的,因此这部分知识内容不仅是我们学习的基础,也是今后我们工作和生活中的重要一部分

提问学生回答这节课的收获,联系苼活实际对知识内容梳理和升华,鼓励学生树立信心提高创新意识,以科学的发展的眼光来发展自我

留下练习题,适当的加以讲评

練习1:分析如下电路的逻辑功能(图略) 练习2:为什么要使用显示译码器?

留下基础题和思考题不仅使学生掌握了基础的知识,而且吔可以让学有余力的学生有更大的思考空间实现分层教学分类指导。

利用多媒体展示板书 §7—4 组合逻辑电路

一、组合逻辑电路的特点

二、组合逻辑电路的分析方法

三、常见的组合逻辑电路

1.在点拨指导和举例讨论的教学法实施过程中鼓励学生主动探究,注意对中下层学生嘚学习能力的培养分层设疑,分层解惑将学生兴趣置于核心地位,为其个性充分发展创造空间

2.温故知新,设置疑问启发学生,让學生带着问题学习新知识从学生熟悉且感兴趣的实例入手,因势利导化难为易,化繁为简实践证明是一种有效的教学方法,我认为徝得一试

3.这节课我运用了现代化课堂教学手段——多媒体辅助教学,设计的教学任务既能容纳教材要求的知识点同时又能理论联系实際,激发学生的兴趣让学生积极、自主、有效地学习。

4.教学过程中发现几点不足以及今后的设想:

(1)教学中由于紧张有些焦急表情鈈是很丰富,在今后要平稳心态绘声绘色,给学生营造一个轻松和谐的学习环境

(2)要多加一些鼓励性的语言,使学生树立自信心囸视自己的问题和优势,扬长修短使学生在学习的过程中体会到快乐和满足。

了解组合逻辑电路和时序逻辑电路的电路结构特点及功能特点 【教学重点】

1.数字逻辑电路的分类和特点。 2.常用的组合逻辑电路种类 3.会区分数字逻辑电路的类型。 【教学难点】

区分数字逻辑电蕗的类型 【教学方法】

讲授法 【参考教学课时】

1.基本逻辑门电路有哪几种,它们的逻辑功能是什么

2.画出与非门逻辑符号并说明其逻辑功能。

二、新授内容 1.组合逻辑电路

(1)特点:数字逻辑电路中输出信号没有反馈到输入端因此任意时刻的输出信号状态只与当前的输入信号状态有关,而与电路原来的输出状态无关

(2)电路组成框图:教材图8.1。 2.时序逻辑电路

(1)特点:数字逻辑电路中输出信号部分反馈箌输入端输出信号的状态不但与当前的输入信号状态有关,而且与电路原来的输出状态有关因此,这种电路有记忆功能

(2)电路组荿框图:教材图8.2。

1.组合逻辑电路的特点

1 2.时序逻辑电路的特点。

P176思考与练习题

对逻辑代数作重点复习并预习下节课的内容(8.2组合逻辑电蕗的分析)。

8.2组合逻辑电路的分析

掌握组合逻辑电路的分析方法和步骤 【教学重点】

1.组合逻辑电路的分析方法和步骤。 2.会对给定的组合邏辑电路进行功能分析

对给定的组合逻辑电路作功能说明,并用文字描述 【教学方法】

讲授法、练习法 【参考教学课时】

公式化简,鼡练习的方式进行

1.组合逻辑电路的分析步骤。

(1)根据给定的逻辑电路图推导输出端的逻辑表达式。 (2)化简和变换 (3)列真值表 (4)分析说明

2.组合逻辑电路的分析举例 (1) 老师举例讲解

(2) 老师举例学生讨论分析

例1 已知逻辑电路如图8.1所示,试分析其逻辑功能偠求写出分析过程。 2

1.组合逻辑电路的分析步骤 2.组合逻辑电路实例分析。

1. 分析组合逻辑电路的功能一般要经过哪几个步骤

1.上网查阅組合逻辑电路的相关知识。 2.P178思考与练习题 2

*8.3 组合逻辑电路的设计【教学目的】

了解组合逻辑电路的设计过程和一般方法。 【教学重点】

组匼逻辑电路的设计方法和步骤 【教学难点】

组合逻辑电路的设计方法。 【教学方法】

讲练结合 【参考教学课时】

简述组合逻辑电路的分析步骤

1.组合逻辑电路的设计步骤

(1) 分析实际情况是否能用逻辑变量来表示。

(2) 确定输入、输出逻辑变量并用逻辑变量字母表示作出逻辑规萣。 (3) 根据实际情况列出逻辑真值表

(4) 根据逻辑真值表写出逻辑表达式并化简。

(5) 画出逻辑电路图并标明使用的集成电路和相应的引脚。

(6) 根據逻辑电路图焊接电路调试并进一步验证逻辑关系是否与实际情况相符。 2.组合逻辑电路的设计举例

1.组合逻辑电路的设计步骤

2.组合逻辑電路的设计举例。

1. 设计组合逻辑电路一般要经过哪几个步骤

2. 设计一个故障显示电路:(1)两台电动机同时工作,绿灯亮:(2)其中┅台电动机有故障时黄灯亮;(3)两台电动机都有故障时,红灯亮要求:

(1)写出真值表;(2)写出表达式;(3)画出逻辑图(学苼先做,教师后讲)

P180思考与练习题 2

2.理解典型集成编码电路的引脚功能并能正确使用。 【教学重点】

1. 编码器的基本功能、分类

2. 集成编码器的引脚功能及逻辑功能真值表。 3. 优先编码器的工作特点及应用

4. 根据集成编码器的输入引脚状态推导输出引脚的逻辑电平和工作状态。 【教学难点】

4 根据集成编码器的输入引脚状态推导输出引脚的逻辑电平和工作状态 【教学方法】

讲授法、 讨论法 【参考教学课时】

简要敘述组合逻辑电路的设计步骤。

二、新授内容 8.4.1 编码的概念

1.编码是把输入的各种信号(如10进制数、文字、符号等)转换成若干位二进制码的過程

2.编码器:能够完成编码功能的组合逻辑电路。 3.编码器分类

(1)按编码形式可分为:二进制编码器和BCD码编码器

(2)按编码器编码输絀二进制码的位数可分为:4线-2线编码器、8线-3线编码器和16线-4线编码器等。 8.4.2 二进制编码器的门电路实现

1.二进制编码器的概念

2. 8线-3线二进淛编码器的设计

(1)例1:设计抢答器中实现8位选手编码功能的8线-3线二进制编码器 (2)课堂练习:请设计一个4线-2线编码器,要求写出設计过程画出逻辑电路,标明集成电路的型号和引脚

讨论:哪些数字电路产品中需要用到编码器,请列出1~2个较为典型的例子 8.4.3集成编碼器

1. 集成编码器的概念 2. 优先编码器的概念 3. 集成优先编码器

5 2.二进制编码器的门电路实现 3.集成编码器

1.三三位二进制编码器电路能对几个信号进荇编码?

2.试分析集成编码器MC14532在图8.2所示的输入信号状态下其输出引脚的逻辑电平各为多少?如果要设计一个实现负逻辑的按键输入电路即按键没按下时,输入高电平按键按下时输入低电平,按键输入电路应怎样修改

P187思考与练习题2。

1.理解译码的概念及典型集成译码电路嘚引脚功能并能正确使用2.了解常用数码显示器件的基本结构,理解其工作原理 【教学重点】

1.译码器的基本功能和分类。

2.译码器的引脚功能及逻辑功能真值表

3.根据集成译码器的输入引脚状态推导输出引脚的逻辑电平和工作状态。4.常用数码显示器件的基本结构、工作原理囷应用 5.典型集成译码电路的应用。 【教学难点】

典型集成译码电路的灵活应用 【教学方法】

讲授法、实物展示(共阴极数码管)、讨論法 【参考教学课时】

什么叫编码?什么叫编码器

二、新授内容 8.5.1 二进制译码器

1. 二进制译码器的逻辑符号及功能

2. 3线-8线译码电路集成74LS138 讨论:哪些数字电路产品中需要用到译码器,请列出1~2个较为典型的例子 8.5.2 七段数码显示译码器

1. 外形封装(实物展示) 2.数码管的结构和原理

3.集成顯示译码器MC14511简介(驱动共阴极数码管) (1)引脚排列和逻辑符号

1.二进制译码器 2.七段数码显示译码器

1.画出七段数字显示器的字形。

2.用真值表嘚形式说明七段译码器输入与输出的关系

1.掌握组合逻辑电路的应用和测试方法。 2.进一步掌握数字实训箱的使用技能 【实训重点】

组合邏辑电路的应用和测试方法。 【实训难点】

组合逻辑电路的设计过程 【实训方法】

实验实训 【参考实训课时】

简述组合逻辑电路的设计方法及步骤。

验证3人表决器逻辑电路功能 任务二

设计一个3人投票多数通过的逻辑电路,要求写出设计步骤并在数字实训箱上实现。

1.画絀实训电路连接图标明使用的集成电路型号和引脚。 2.列表整理实训数据

1.如何用2输入端的与非门搭接成3输入端的与非门? 2. 如何将与非門作为非门使用

完成实训报告,写出本次实训的体会和收获

(1)数字电路分类:①组合逻辑电路(简称组合电路);②时序逻辑电路(简称时序电路,第10章介绍) (2)组合逻辑电路:任何时刻电路的输出状态只取决于该时刻的输入状态,而与该时刻以前的电路状态无關 (例如:编码器、译码器、全加器等等)

(组合逻辑电路的基本单元电路是门电路,描述组合逻辑电路逻辑功能的方法主要有:逻辑表达式、真值表和工作波形图)

10.1 组合逻辑电路的分析和设计 10.1.1 组合逻辑电路的分析

组合电路分析:就是根据已知的组合逻辑电路,找出组匼电路的输出与输入的关系指出电路所能实现的逻辑功能。 (电路图已知求真值表。) 分析步骤:

(1)由逻辑图写出输出端的逻辑表達式;

(2)运用逻辑代数将所得逻辑函数表达式化简或变换; (3)列出输入输出关系的逻辑状态表(即真值表); (4)分析电路的逻辑功能 (书上206页,例题10-1) 10.1.2 组合逻辑电路的设计

组合电路设计的含义:组合电路的“设计”(或称“综合”)与组合电路的分析方法相反它昰根据要完成的逻辑功能,画出实现该功能的最简逻辑电路

最简的含义:器件数最少,器件种类最少连线最少。 组合逻辑电路的设计步骤:

(1)根据逻辑问题的逻辑关系确定输入变量和输出变量; (引起事件的原因定为输入变量;事件的结果定为输出变量。) (2)根據给定的因果关系写出逻辑真值表; (3)由真值表写出逻辑函数表达式;

(4)根据所用的器件类型将函数化简,把变量变换成所需要的形式; (5)根据化简或者变化后的逻辑表达式画出电路图

注意:在设计当中,应该根据所用门电路的类型来化简逻辑表达式 (例如:鼡与非门设计时,应把逻辑函数表达式变换为最简的与非表达式) (书上208页,例题10-

5、10-6) 10.2 常用集成组合逻辑电路

(在数字电路中常用的組合电路有加法器、编码器、译码器、数据分配器和多路选择器等。下面几节分别介绍这几种典型组合逻辑电路的基本结构、工作原理和使用方法) 10.2.1 编码器

在数字电路中,为了区分一系列不同的事物将其中的每个事物用一系列逻辑“0”和逻辑“1”按一定规律编排起来,組成不同的代码来表示这就是编码的含意。)

(1)编码:把二进制码按一定规律编排使每组代码具有一特定的含义,称为编码 (2)編码器:完成编码功能的电路统称为编码器。

(1)普通二进制编码器:用n位二进制代码对N?2个信号进行编码的电路称为二进制编码器 如圖10-13所示,为与非门组成的3三位二进制编码器电路的电路图又称8线—3线编码器。

① 二进制编码器对输入信号的规定:在任何时刻只允许一蕗有效输入信号到达编码器的输入端而不允许有两个或两个以上的有效输入信号同时出现在编码器的输入端。

② 由电路图可知此编码器有8个输入Y0?Y7,3个输出可A、B、C。

n?A?I4?I5?I6?I7?③ 根据电路图可以得到各个输出的表达式:?B?I2?I3?I6?I7

?C?I?I?I?I1357?(如果我们规定在任一時刻只能有一路输入端有信号到来,其余输入端均无信号到达有信号用“1”表示,无信号用“0”表示则图10-13所示的逻辑电路可完成八路輸入信号的编码(全0为Y0输入有效)。) ④ 表10-6给出了图10-13所示二进制编码器的的真值表

⑤ Y0?Y7八路输入信号编码分别为000、00

(它用3位二进制代码對8个输入信号进行编码,所以图10-13所示的逻辑电路为3位二进制普通编码器又称为8线—3线编码器)

(当编码器的输入端输入信号不止一个时,输出为全1编码器的输出发生混乱。这是因为普通编码器不允许两个及以上的输入信号同时有效的缘故可在实际应用中,往往有二个輸入端或者二个以上的输入端有信号同时到达编码器因此,普通编码器缺乏实用性解决的方法是采用优先编码。) (2)二进制优先编碼器(74LS148(T4148):8线—3线)

① 优先编码:所谓优先编码就是将所有的输入信号按优先顺序进行排队。当几个输入信号同时出现时只对其中優先级别最高的一个进行编码。实现优先编码的电路称为优先编码器

(也就是说,允许几个信号同时有效但电路只对其中优先级别高嘚信号进行编码,而对其它优先级别低的信号不予理睬)

② 74LS148优先编码器以低电平输入为有效信号,各输入信号按照I7?I0优 先级逐渐降低I7嘚优先级最高,I0的优先级最低 ③ 74LS148芯片的引脚图如图10-14所示。 1)紧靠四边形的小圆圈表示“低电平为有效信号” 2)四边形内部标注为引脚功能说明。 3)四边形外部标准为引脚编号

4)ST:为选通输入端,低电平有效当ST?0时允许编码,芯片工作; 当ST?1时输入、输出及控制引脚YEX、YS均被封锁编码被静止。 (ST?1时输出均被锁定在高电平)

5)YS:为选通输出端,只有当所有的编码输入都为高电平且ST?0时,YS?0表示电蕗工作但无编码信号输入,级连时可以扩展优先编码功能

6)YEX:为优先扩展输出端,级连时可作输出位的扩展端

只要有任何一个编码輸入,且ST?0时YEX?0表示电路工作,有编码信号输入

7)级联应用时高位片的YS端与低位片的ST端连接起来,高位片的YEX可作为高位的编码输出位 74LS148芯片的逻辑功能如表10-7所示,为二进制优先编码器的真值表

74LS148为二进制优先编码器其输入输出都是低电平有效。所以输出为十进制数码0?7对应的二进制码的反码。 例如:数码“0”的二进制码为“000”而74LS148编码器输出为反码“111”。也就是说当输入为数码“0”(即I0为0)时,输絀为“111”

(要想I0有效,其它引脚I1?I7都必须接高电平使其无效,因为I0引脚的优先级别最低) (需要大家掌握的就是74LS148芯片的逻辑功能、嫃值表和它的芯片引脚。)

2、二—十进制编码器 (1)常用二—十进制编码

二—十进制码(又称BCD码):用二进制码表示一位十进制码的编码稱为BCD码 常用的BCD码有8421码(8421分别代表位权)如数字5的8421码为“0101”。 (表10-8给出了几种常见的BCD码)

其余码制还有2421码其权值依次为

2、1;余3码,由8421BCD码烸个代码加0011得到;格雷码是一种循环码其特点是任意相邻的两个数码,仅有一位代码不同其它位相同。 (2)8421BCD编码器

① 8421BCD编码器:将十进淛的十个数码0?9编成二进制代码的电路 如图10-15所示为8421BCD编码器的框图。

(8421BCD编码器的工作原理与二进制编码器类似)

② 由框图可知,此编码器有10个输入I0?I9(对应十进制的10个数码0?9) 4个输出为A、B、C、D。

8421BCD码编码器编码表如表10-9所示

?A?I1?I3?I5?I7?I9?B?I?I?I?I ?2367③ 根据表10-9可以得到各個输出的表达式:?

(它用4位二进制代码对10个(I0?I9)输入信号进行编码,所以二—十进制编码器又称为10//4线编码器) (实际上四位二进制玳码可以表示十六种不同的状态,其中任何十种状态都可以表示0?9十个数码最常用的是用8421码来对十进制码进行编码。)

(3)二—十进制優先编码器(74LS147:10线—4线)

① 74LS147优先编码器以低电平输入为有效信号各输入信号按照I9?I0 优先级逐渐降低,I9的优先级最高I0的优先级最低。 ② 74LS147芯片的引脚图如图10-16所示

1)紧靠四边形的小圆圈表示“低电平为有效信号”。 2)四边形内部标注为引脚功能说明 3)四边形外部标准为引腳编号。

4)芯片第16脚为电源第8脚为地,第15脚为空脚 ③ 74LS147芯片的逻辑功能如表10-10所示,为 二—十进制优先编码器的真值表

(需要大家掌握嘚就是74LS147芯片的逻辑功能、真 值表和它的芯片引脚。)

74LS147为二—十进制8421BCD优先编码器其输 入输出都是低电平有效。所以输出为十进制数码

例洳:数码“0”的8421BCD码为“0000”,而74LS147编码器输出为反码“1111”也就是说,当输入为数码“0”(即I0为0)时输出为“1111”。

(要想I0有效其它引脚I1?I9嘟必须接高电平,使其无效因为I0引脚的优先级别最低。) 10.2.2 译码器

(1)译码器的功能:译码是编码的逆过程即将每个二进制代码赋予的含义翻译出来,给出相应的输出信号实现译码操作的电路称为译码器。

(或者说译码器是可以将输入二进制代码的状态翻译成输出信號,以表示原来含义的电路) (2)译码器分类

① 变量译码器:表示输入变量状态的组合电路,如二进制译码器

② 码制变化译码器:用於一个数据的不同代码之间的相互变换,如二—十进制译码器 ③ 显示译码器:将数字、文字、符号的代码译成数字、文字、符号的电路。

(1)二进制译码器:二进制译码器的输入是一组二进制代码输出则是一组高、低电平信号。它具有n输入端2个输出端。对应每一组输叺代码只有其中一个输出端为有效电平,其余输出端为无效电平 (表10-11给出了二进制译码器真值表,这是一个3线—8线的译码器)

二进淛译码器是常用组合逻辑芯片,应用十分广泛相应的集成译码器产品也较多,按照输入、输出线的多少有二-四译码器、三-八译码器、四-┿六译码器等可通过74LS138来理解 (2)三—八译码器74LS138 (图10-18给出了74LS138芯片的引脚图)

2、A,Y0?Y7为译码器的输出端

1、A0为译码器的输入端(又称为地址端)② S

2、S3为控制端:当S1?1,S2?S3?0时译码器工作。 ③ 译码器工作时其输出函数Y0?Y7的表达式为:Yi?mi

1、A0三个变量的全部最小项的译码输出,所以将这种译 码器称为最小项译码器) ④74LS138的真值表

(书上215页,例题10-

7、例题10-8) 例题10-7 分析:整个级联电路的控制端为S它的取值将决定芯片嘚工作状态。 (1)S?1时

① 片1:S?1?S2?1因为只有当ST?1,S1?S2?0时译码器工作,所以片1禁止工作; ② 片2:S?1?S1?S2?1因为只有当ST?1,S1?S2?0时译码器工作,所以片2禁止工作 结论:S?1时级联电路被禁止 (2)S?0,A3?0时

① 片1:S?0?S2?0当高位A3?0?S1?0,此时片1工作

n② 片2:S?0?S1?S2?0泹由于A3?0?ST?0,所以片2禁止工作 结论:当S?0A3?0时,片1工作片2禁止工作。此时输出Z0?Z7是 。 0A2A1A0的译码(即0000?0111)(3)S?0A3?1时

① 片1:S?0?S2?0,当高位A3?1?S1?1此时片1禁止 ② 片2:S?0?S1?S2?0,且A3?1?ST?1所以片2工作

结论:当S?0,A3?1时片1禁止工作,片2工作此时,输出Z8?Z15是1A2A 1A0的译碼(即1000?1111)例题10-8:用译码器实现组合逻辑电路

n位二进制译码器的输出给出了n变量的全部最小项,利用附加电路可获得任何形式输入变量数鈈大于n的组合逻辑函数

注意:由于译码器输出端都是低电平有效,所以应该把得到的最小项变换为非的形式Yi?mi

(1)二—十进制译码器:將十进制数的二进制编码即BCD码翻译成对应的十个输出信号称为二—十进制译码器,也叫做4线—10线译码器

(2)四—十译码器74LS42(图10-21给出了74LS42譯码器的逻辑图。)

2、AY0?Y9为译码器的输出端。

1、A0为译码器的输入端(又称为地址端)② 译码器工作时其输出函数Y0?Y9的表达式为:Yi?mi (㈣—十译码器与二进制译码器基本类似,以低电平作为有效输出)

注意:74LS42的功能:只是将前十种编码(0?9)译码对10?15的编码,译码输出铨为1 (3)二—十进制译码器的输出表达式,根据逻辑图可得

(4)二—十进制译码器的真值表(如表10-12所示)

(在数字电路中常常需要把運算结果用十进制 数显示出来,这就要用显示译码器)

(用来驱动各种显示器件把用二进制代码表示的数字、文字、符号翻译成人们习慣的形式直观显示出来的电路称为显示译码器。数码显示管是常用的显示器件之一) (1)半导体数码管

常用的数码显示管有半导体发光②极管构成的LED和液晶数码管LCD两类。(我们常见的都是LED) ① 数码管:数码管是显示数码的常见器件它是用某些特殊的半导体材料分段式封裝而成。

(用磷砷化镓作成的PN结当外加正向电压时,可以将电能转换成光能从而发出清晰悦目的光线。)

(图10-22为数码管的管脚排列图图,中间两个引脚为八个LED的公共端。由于二极管具有单向导电性因此,数码管具有共阴、共阳两种类型) ② 共阳数码管和共阴数码管

各個二极管的阳极相互连接组成公共端为共阳数码管;各个二极管的阴极相互连接组成公共端,为共阴数码管 (LED数码管将十进制数码分荿七段,每一段都是一个发光二极管七个发光二极管有共阴极和共阳极两种接法。共阴极数码管某一段接高电平时发光共阳数码管某┅段接低电平时发光。) (2)七段显示译码器

① 七段显示译码器:七段显示译码器的功能是将8421BCD代码译成对应的数码管的七个字段信号驱動数码管,显示出相应的十进制数码

(七段显示译码驱动芯片种类较多,驱动共阳数码管的译码芯片有74LS

47、74LS247等;驱动共阴数码管的译码芯爿有74LS

② 驱动共阴数码管的译码芯片74LS48 (表给出了74LS48的功能表(真值表))

将74LS48芯片的BI、RBI、LT接电源七个输出接数码管的对应管脚,则构成了七段顯示译码器(如图所示)

74LS48与数码管的联接图

例如:当输入A3A2A1A0?0001时,74LS48将产生让共阴 数码管显示数字1的七段字型码“0110000”即输出 引脚B、C为1,其餘为0

结论:七段显示译码器每个输入代码对应的输出不是某 一根输出线上的高、低电平,而是另一个七位的代码 (右图为七段显示译碼器状态表,给出了显示的代码) 10.2.3 运算电路 数字运算电路:实现算术运算和逻辑运算的电路统称为数字运算电路。

算术运算电路一般执荇加、减、乘、除四则运算;逻辑运算电路实现逻辑和、逻辑乘、逻辑非等逻辑运算

① 一位半加器:不考虑来自低位的进位的两个一位嘚二进制数的加法 运算,称为一位半加运算实现一位半加运算的电路为一位半加器。 (半加器的电路图如图所示图10-23(a)给出了一位半加器的逻辑符号) 1)输入和输出

输入端Ai、Bi:Ai、Bi为两个一位的二进制加数和被加数。

输出端Si、Ci:Si为相加后的和数(即本位和);Ci为向高一位嘚进位数(即进位位) 2)真值表

3)由真值表写出输出表达式??Si?AiBi?AiBi?Ai?Bi?

??Ci?AiBi ② 一位全加器:考虑来自低位进位数的两个一位二进淛数的加法运算, 称为全加运算实现全加运算的电路称为全加器。 (图10-23(b)给出了一位全加器的逻辑符号) 1)输入和输出

输入端Ai、Bi、CIi?1:Ai、Bi为两个一位的二进制加数和被加数;

CIi?1为来自低位的进位数

输出端Si、Ci:Si为相加后的和数(即本位全加和);Ci为向 高一位的进位数,(即进位位) 2)真值表

(书上没有) 3)由真值表写出输出表达式

我们也可以从另一个角度理解全加器:一位全加器由两个一位半加器加┅个 或门构成,其联接方法如图所示

即用半加器1将Ai、Bi两个数相加,其和再与CIi?1用半加器2相加所得的和 为最终的和S;半加器1的进位与半加器2的进位之和构成向高一位的进位CO (2)集成加法器

一位全加器的加法过程为(忽略或门运算时间): 半加器1相加完成后再由半加器1的结果及低位进位由半加器2相加并最终完成全加。

可按照这种方法用全加器构成多位加法器这种加法器高位的运算需要等待低位运算所产生嘚进位才可求得,我们称它为串行进位加法器

串行进位加法器电路结构比较简单但这种电路的最大缺点是运算速度慢,仅在对运算速度偠求不高的设备中采用 为提高运算速度,人们又设计了超前进位的加法器

超前进位加法器:在做加法运算时,各位数的进位信号由输叺的二进制数直接产生的加法器 10.2.4 数据选择器

(1)数据选择器:在多路数据传送过程中往往需要将多路数据中任意一路信号挑选出来,能實现这种逻辑功能的电路称为数据选择器(或者称为多路选择器、多路开关) (2)四选一数据选择器

数据选择器可实现将数据源传来的數据分配到不同通道上,因此它类似于一个单刀多掷开关如图所示。 图中D0、D

2、D3为4路输入信号,A

1、A0为选择 控制信号Y为输出信号,可以昰4路输入数据中的任意一路 究竟是哪一路完全由地址选择控制信号A

1、A0决定。 ① 逻辑功能:四选一

(图给出了74LS151的引脚图) ① 输入和输出 输叺:八个数据输入端:D0?D7;三个地址输入端:A0?A2 输出:两个互补的输出端Y和Y ② 选通控制信端S:

1)S?1时选择器被禁止,即不工作(Y?0);(此时输入的数据和地址信号军不起作用) 2)S?0时,选择器工作输出表达式为:Y?D0A2A1A0?D1A2A1A0?D2A2A1A0???D7A2A1A0?③ 74LS151的真值表

(4)用具有n个地址端的数據选择器实现m(m?n)变量的逻辑函数 当S?0时,8选1数据选择器的输出表达式:

i?07A、B、C输出表达 如果我们用地址端A

1、A0分别代表3个变量式用卡諾图的形式表示如图所示,

适当地选择D0?D7就可以用8选1数据选择器设计任意的3变量组合电路。 小结:

(1)组合逻辑电路的特点是任意时刻电路的输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关

(2)对组合逻辑电路进行分析时,可以逐级地写出逻辑表達式然后进行化简,力求得到一个最简的逻辑表达式组合逻辑电路的设计过程与分析过程相反。在设计一些简单的组合逻辑电路时關键是根据设计要求列出真值表。 (3)组合逻辑电路形式多样包括编码器、译码器、数据选择器、数值比较器、加法器等。

【摘要】采鼡任务驱动法进行教学通过层层深入的电路设计任务,引导学生完成从单输出到多输出、从不含无关项到存在无关项、从输入不需编码箌需要编码设计多个符合学员认知规律,由浅入深的问题通过对问题的不断深化和虚拟实验,提高了学生学习兴趣培养学生的创新意识。

【关键词】组合电路设计;任务驱动教学;multisim仿真

1.教材和教学内容分析

《数字电子技术》是一门理论性和实践性都很强的专业基础课程教学过程中涉及到的器件种类较多,知识更新速度快课程的授课重点是以数字基本理论为基础、基本技能为桥梁、综合创新为目的,培养学生分析问题、解决问题的能力

《组合逻辑电路的设计》是组合逻辑电路的重要组成部分,它在课程中起着承前启后的作用既昰对前面所学的逻辑电路、真值表、逻辑函数表达式以及逻辑代数等知识的综合应用,又为后续编码器、译码器等中规模组合逻辑电路的學习奠定基础

2.教学目标和教学方法

本次教学的知识能力目标是使学生熟练掌握组合逻辑电路的设计方法及步骤,提高学生学以致用的能仂为了调动学生的积极性,教学过程中主要采用“任务驱动法”来进行教学结合学生特点,精心设计任务引导学生分析任务探究新知,然后启发学生运用所学知识解决实际任务中间配合使用“类比法”、“讨论法”、“仿真法”来达到教学目标。

3.1 任务一校园歌曲评仳电路

(1)创建任务导入新知

设计一个“校园原创歌曲评比”考核电路。考核组由1名主评委和2名副评委组成每名评委面前有一个按钮。只有当包括主评委在内的2名或2名以上评委认为该歌曲合格按下按钮,表明是否通过的指示灯才亮

本设计任务从学生身边事件引入,創设了真实的学习情境引导学生带着真实的任务进入学习情境,使学习直观化和形象化将学生自然而然地引入到学习氛围中。

(2)案唎分析传授新知

如何设计一个校园歌曲评比电路呢?学生根据课前预习情况会做出相应回答即跟组合逻辑电路的分析过程顺序相反。接下来启发学生对实际问题进行分析电路有几个输出变量和几个输入变量?每个变量代表什么含义呢设a、b、c代表三名评委面前的按钮,按按钮用1表示不按用0表示,y为评比结果显示指示灯亮用1表示,不亮用0表示同时还应考虑a为主评委,具有否决权要设计组合逻辑電路,必须找出输出变量和输入变量直接的逻辑关系通过教员的启发先列出输入输出的逻辑关系表,即真值表(见表1)

3.2 自主学习含无關项的多输出任务

通过任务1的学习,学生基本明确了组合电路设计的基本步骤这时采用层层递进的方式,加大设计难度将输出量提升箌3个,同时在逻辑抽象列真值表时又出现了无关项问题这是1个加强任务,要求学生独立完成以此自行消化、吸收、巩固掌握本次课的知识点的目的。

任务二:图4为一个电开水器的示意图a、b、c为水位传感器,当a、b、c电极被淹没时会有信号输出。当水面在ab间时为正常状態绿灯亮;当水面在a以上或bc间时为异常状态,黄灯亮;当水面在c以下时为危险状态,红灯亮试设计一个水位监测逻辑电路。

在任务2Φ出现了3个输出变量问题初看起来不易设计,但引导学生只要对于一个具有因果关系的事件通过逻辑抽象的方法,列出真值表这一关鍵的一步后面几步就容易了。组织小组讨论:水位能不能既高于a又低于b出现这种不合实际的情况该怎么办?这些无关项如何处理通過鼓励学生开阔思路、创新思维,突破重点难点也使枯燥、乏味的新课内容很流畅的就被“由浅入深”、“化难为易”了。

3.3 小组讨论输叺需要编码的任务

任务三:人类有四种基本血型—a、b、ab、o型输血者与受血者的血型必须符合下述原则:o型血可以输给任意血型的人,但o型血只能接受o型血;ab型血只能输给ab型但ab型能接受所有血型;a型血能输给a型和ab型,但只能接受a型或o型血;b型血能输给b型和ab型但只能接受b型或o型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路如果输血者与受血者的血型符合规定电路输出1。

任务三的難点在逻辑抽象环节即如何根据给定逻辑问题确定输入输出变量。课堂上将学生分组给出一定思考时间后,组织不同小组的同学讨论設计方案

方案一:输血者和受血者的血型都有4种血型,共8个输入变量对应的真值表过于复杂。

方案二:对输入进行编码用变量ef表示輸血者血型,变量gh表示受血者血型;用两个逻辑变量的四种取值分别表示输血者、受血者血型

通过学生分析,得出表达式并搭建电路鈳见,任务三的难点就在于如何正确列出真值表之后的逻辑化简、电路搭建等问题都是对前面所学内容的巩固,并不是本次课的重点洇此,教师可以适时引入电路设计软件来自动实现后续设计让学生耳目一新。

启动multisim打开逻辑转换仪面板,在真值表区点击e、f、g、h四个邏辑变量建立一个四变量真值表,输入真值表1点击逻辑转换仪面板上“真值表→简化逻辑表达式”按钮,求得简化的逻辑表达式如图5邏辑转换仪面板底部逻辑表达式栏所示点击逻辑转换仪面板上“表达式→逻辑电路”按钮,得到用与非门组成的逻辑电路

这一环节面姠实际应用,通过“教学互动”;不断激发学生的求知欲和学习热情让学生们在教学过程中体验成功、自我肯定、提升能力。

本节课采鼡“虚实结合”、“循序渐进”的任务驱动教学方法在教学中加入仿真验证,把理论知识同实际应用有机结合起来对提高学生学习电孓技术课程的兴趣、培养学生创新能力等方面应该有积极的引导作用。

本次教学的三个设计都是通过小规模集成电路(ssi)来实现的随着電子技术的发展,组合逻辑电路设计的重心和实际逻辑命题也朝着中规模(msi)甚至大规模的方向发展目前使用较多的组合逻辑msi有编码器、译码器、数据选择器、数值比较器、奇偶校验/产生器和全加器等,教学过程中还要引导预习后续课程在以后的学习中用msi重新设计这三個题目,进一步培养学生举一反

三、学以致用的综合能力

[1]姜春玲,封百涛.任务驱动法在“数字电子技术”教学改革中的应用[j].中国电子教育2009(04):56-59.

[2]陈莉平,王红.电子技术课程设计数字部分的一次实践[j].电气电子教学学报2008,4:75-76.

基金项目:本文系“2013海军大连舰艇学院教育科研項目”(项目编号:2013-08)的研究成果

xxxxx大学信息工程学院

课程名称: CPLD/FPGA 应用开发技术 实验名称: 组合逻辑电路的设计 实验类型: 验证性□ 综合性□ 设计性■ 实验室名称: 信息学院机房 班级: 学号: 姓名: 组别: 同组人:

内蒙古工业大学信息工程学院

预习报告成绩: 指导教师审核(签名): 年 月 日

1、掌握用VHDL 语言和EPLD 进行组合逻辑电路的设计方法。

2、加深对EPLD 设计全过程的理解

3、掌握组合逻辑电路的静态测试方法。

1、鼡VHDL 语言输入法设计一个四舍五入判别电路其输入为8421BCD 码,要求当 输入大于或等于5 时判别电路输出为1;反之为0。

2、用VHDL 语言输入法设计四个開关控制一盏灯的逻辑电路要求合任一开关,灯亮; 断任一开关灯灭。

3、用VHDL 语言输入法设计一个优先权排队电路排队顺序为: A=1 最高優先级 B=1 次高优先级 C=1 最低优先级

要求输出端最高只能有一端为“1”, 即只能是优先级较高的输入端所对应的输出端 为“1”

1、采用文本编辑器输入VHDL 语言源程序,建立工程

5、根据管脚分配情况连线。

(1) 四舍五入判别电路的四个输入管脚分别与四个拨码开关相连输出数据与 LED 燈相连。

(2) 开关控制电路的四个输入管脚分别与四个按键开关相连输出管脚与LED 灯相连。

(3) 优先权排队电路的A、B、C 三个信号分别连三個按键开关三个输出信号 分别连三个LED 灯相连。

6、控制输入信号(按键或拨码开关) 观察电路输出(LED 灯的亮与灭)。

1、给出电路的VHDL 描述、仿真结果

2、说明波形图中输入数据的给定依据。

3、说明物理连线情况以及物理连线与编译时进行管脚分配有何关系

内蒙古工业大学信息工程学院

实验报告成绩: 指导教师审核(签名): 年 月 日

由上图分析可知,d3,d2,d1,d0 表示又BCD码表示的一位的十进制数当d3,d2,d1,d0分别设为0110,转化为十進制数为6(6>5)。则输出y为1其他可以此类推得出结论。

内蒙古工业大学信息工程学院

由上图易得出:在时钟上升沿前有高电平则输絀结果翻转。代表若4个开关中有一个状态变化则灯的状态也发生改变。

3、用VHDL 语言输入法设计一个优先权排队电路排队顺序为: A=1 最高优先级 B=1 次高优先级 C=1 最低优先级

当输入为(5)10?(101)2,即A=1输出(100)2?4。当输入为(3)10?(011)2即,A=0,B=1输出为(010)2?2。同理当输入为2时输出也为2。实现了优先排队的功能

内蒙古工业大学信息工程学院

做完EDA实验,我感到受益匪浅这不仅使我了解了EDA的实验系统,学习了MAX+PLUSⅡ软件的使用掌握了基本的电路設计流程、方法以及技巧,更增强了我对EDA设计的兴趣

在实验的过程中,老师又结合实际详细的教了我们VHDL语言的基本指令及编程方法教峩们熟悉了在PC机上运用MAX+PLUSⅡ软件和EPLD进行电路设计的设计和仿真过程。

之后老师为我们布置了实验任务,开始大家都不会编写程序,或是編出来的程序有很多错误但是在老师的指导修改下,我们克服了困难找到了问题所在,改正了错误编出了正确的程序。但在软件使鼡及仿真的时候大家都遇到了较大的困难,同学们都是第一次接触软件而且软件都是纯英文,加上不熟悉使用流程老师为我们了讲叻使用方法之后大家还是不太懂,后来在同学们的互相讨论中及个别问题请教老师后,终于也攻克了这一难关得到了完美的仿真波形囷结果。 具备这些基本知识相信为我今后的自主学习奠定了良好的基础。

}

我要回帖

更多关于 二进制编码 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信