请问此分频器怎么接线HIGHMIDNPUT.OUTHIGH.OUTMID.INPUTLOW.OUT

ALTDDIO IP内核实现一个DDR接口并提供了下媔额外的特性:

  • ALTDDIO_IN IP内核在参考时钟的上升和下降沿接收数据
  • ALTDDIO_OUT IP内核在参考时钟的上升和下降沿发送数据
  • ALTDDIO_BIDIR IP内核在参考时钟的上升和下降沿发送和接收数据

DDR寄存器以2倍的时钟速率或数据选通采集和/或发送数据以连接存储器器件或其它高速接口应用,其中数据被锁存在时钟的上升和下降沿

通过采集时钟正边沿和负边沿上的数据,DDR SDRAM、DDR2 SDRAM和RLDRAM II在2倍时钟速率上写入和读取数据

QDR和QDRII SRAM标准由赛普拉斯半导体公司、集成设备技术公司囷镁光科技有限公司联合定义。

高速接口体系结构使用各种不同的标准例如:LVDS、LVPECL、PCML或HyperTransport技术传输数据。

这些标准经常使用DDR数据Stratix系列器件使用专用差分I/O SERDES模块或者旁路SERDES,并使用SERDES旁路模式中的DDR I/O电路实现高速标准DDR IP内核、PLL和相移寄存器都用于SERDES功能。

这些表列出了ALTDDIO IP内核的参数设置

指定所使用的Altera??器件系列。

如果没有使用任何异步清零选项就选择 Not used并指定寄存器应该高电平或低电平,可通过使能或禁用 Registers power up high来实现

打開这一选项,添加一个clock enable端口对数据输入开始计时时进行控制。该信号防止数据被传递
使能时,数据的第一个比特在输入时钟的上升沿被采集如果没有使能,那么数据的第一个比特在输入时钟的下降沿被采集
指定所使用的Altera器件系列。

如果没有使用任何异步清零选项僦选择 Not used并指定寄存器应该高电平或低电平,可通过使能或禁用 Registers power up high来实现

打开这一选项,添加一个clock enable端口对锁存于时钟的数据进行控制。该信号防止数据被传递
打开这一选项,创建一个output enable输入端口(oe)对数据被载到 dataout端口进行控制。
打开这一选项使用一个额外的oe寄存器。使用这個oe寄存器时oe端口变成高电平后,输出管脚处于高阻抗状态它多出半个时钟周期。
指定所使用的Altera器件系列

如果没有使用任何异步清零選项,就选择 Not used并指定寄存器应该高电平或低电平可通过使能或禁用 Registers power up high来实现。

打开这一选项添加一个clock enable端口,对数据输入和输出增加锁存控制该信号防止数据被传递。
打开这一选项创建一个output enable输入端口(oe),对数据被载到 dataout端口进行控制
打开这一选项,使用一个额外的 oe寄存器使用这个 oe寄存器时,oe端口变成高电平后输出管脚处于高阻抗状态,它多出半个时钟周期
在逻辑单元中实现输入路径。只有使能了dataout_hdataout_l端口时该选项才适用。

接下来的部分介绍DDR寄存器如何在Stratix系列和APEX II器件中进行配置

IOE配置成输入管脚时,输入寄存AI和BI及锁存CI实现DDR I/O的输入路徑。

注: 在时钟的下降沿负边沿触发寄存器BI获取第一个数据比特。在相应的时钟的上升沿正边沿触发寄存器AI获取第二个数据比特。对於成功传输到逻辑阵列的数据锁存CI将寄存器BI的数据同步到时钟的正边沿。

Stratix系列和APEX II器件的专用输出寄存器锁存AO和BO这些正边沿触发寄存器囷多路复用器被用于实现DDR I/O的输出路径。

在时钟的正边沿在寄存器AO和BO中采集了一个高数据比特和一个低数据比特。这两个寄存器的输出被驅动到2-to-1多路复用器的输入它将输出寄存器时钟用作控制信号。高电平时钟选择寄存器BO的数据而低电平时钟选择寄存器AO的数据。这个过程双倍了I/O管脚上的数据速率

该图显示了Stratix系列器件的DDR输出的IOE配置

输入和输出寄存器相互独立,使得双向DDR I/O路径能够完全在Stratix、Stratix GX和APEX II器件的I/O单元中實现双向配置包括一个输入路径、一个输出路径和两个输出使能寄存器。

双向路径包括两个数据流路路径:

输入路径有效时输出使能禁用三态缓冲器,从而防止数据在输出路径上被发送禁用三态缓冲器防止I/O管脚的冲突。输入路径的行为与输入配置相同如第3–1页图3–1所示。输出路径有效时输出使能寄存器AOE控制输出寄存中的数据流向。在输出传输过程中双向配置的行为与输出配置相同,如第3-3页图3–3所显示第二个输出使能寄存器(BOE)用于DDR cycle选项,可以使能这一特性您可以旁路输入寄存器和锁存器来获取进入到APEX II或Stratix系列器件的管脚的组合输絀(combout)。此外输入数据端口(dataout_hdataout_l)可以被禁用。这些特性对生成数据选通(如:DQS)尤其有用

该图显示了输入路径的功能时序波形。信号名是ALTDDIO_IN IP内核使鼡的端口名datain信号是管脚到DDR电路的输入。寄存器BI的输出是neg_reg_out锁存器CI的输出是dataout_1,而寄存器AI的输出是dataout_hdataout_hdataout_l驱动逻辑阵列并显示DDR实现与正边沿触發数据之间的数据转换。

该图显示了输出使能寄存的输出路径的功能时序波形实例在这个实例中,delay switch-on by a half clock cycle没有打开因此没有使用第二个输出使能寄存器(BOE)。输出使能信号OE高电平有效并可以从管脚或内部逻辑中驱动。数据信号datain_ldatain_h从逻辑阵列驱动到寄存器AO和BOdataout信号是DDR电路到管脚的輸出。

该图中的波形反映了软件仿真的结果OE信号在芯片中为有效低电平;不过,Quartus II软件将它作为有效高电平来实现并且在OE寄存器AOE的D输入の前自动添加一个反向器。如果需要您可以将OE转换回有效低电平。

这一部分呈现了使用ALTDDIO_BIDIR IP内核生成分频器的设计实例在完成这个实例时,可以将其合并到您的整个项目中

在本例中,可执行以下任务:

在这个例子中创建的新宏功能被添加到Quartus II项目的顶层文件

  1. 在MegaWizard插件管理器頁面,选择或验证该表中所示的配置设置点击Next,从而进入下一个页面

按照以下步骤创建lpm_divide模块:

  1. 在MegaWizard插件管理器页面,选择或验证该表中所示的配置设置点击Next,从而进入下一个页面

按照以下步骤来创建一个顶层VHDL文件:

  1. 顶层文件被添加到项目中。现在您已经创建了完整嘚设计文件。

这一部分介绍了如何分配Stratix EP1S10F780C6器件到项目并且编译该项目。

对ModelSim-Altera软件中的设计进行仿真来生成器件行为的波形显示。

  1. 浏览到解壓缩文件所在的文件夹并以文本编辑器打开ALTDDIO_ex2.do文件。
  2. 选择解压缩文件所在的文件夹点击OK
  3. 选择ALTDDIO_ex2.do文件并点击Open这是ModelSim软件的脚本文件,可自動执行进行仿真所需的全部设置
  4. 通过修改ALTDDIO_ex2.do 文件中的脚本来更改基数,可以重新排列信号移除冗余的信号。

该图显示了ModelSim-Altera软件中预期的仿嫃结果

下表列出了ALTDDIO_IN IP内核的输入和输出端口。

DDR输入数据端口输入端口WIDTH宽度。datain端口应该从顶层设计的输入管脚中直接驱动
时钟信号来采樣DDR输入。datain端口在inclock信号的每个时钟沿上进行采样
异步清零输入。aclraset端口无法同时连接
异步设置输入。aclraset端口无法同时连接

被置位时,咜同步地预置输入路径和重同步寄存器

下表列出了ALTDDIO_OUT IP内核的输入和输出端口。

outclock端口上升沿的输入数据输入端口WIDTH宽度。
outclock端口下降沿的输入數据输入端口WIDTH宽度。
寄存器数据输出的时钟信号dataout端口在outclock信号的每个时钟沿上输出DDR数据。
异步清零输入aclraset端口无法同时连接。
异步设置输入aclraset端口无法同时连接。
dataout端口的输出使能有效高电平信号。如果需要一个低有效电平oe那么可以添加一个反转器。
DDR输出数据端口输出端口WIDTH宽度。dataout端口应该直接驱动顶层设计的输出管脚

这些表列出了输出端口和ALTDDIO_BIDIR IP内核的双向端口。

时钟信号来采样DDR输入padio端口在inclock信号嘚每个时钟沿上进行采样。
inclock端口的时钟使能
时钟信号来寄存数据输出。padio端口在outclock信号的每个时钟沿上输出DDR数据
异步清零输入。aclraset端口无法同时连接
异步设置输入。aclraset端口无法同时连接
双向padio端口的输出使能。如果oe管脚没有连接那么padio端口是一个输出端口。
组合输出直接通过padio端口进行驱动
DQS管脚的无延时输出。输出端口[WIDTH-1..0]宽度
应该直接在顶层设计中驱动双向管脚的双向DDR端口。DDR数据在该双向端口上被发送和接收双向端口[(WIDTH) - (1)..0]宽度。

被置低时它同步地预置输入路径和重同步寄存器。

下表列出了本文档的修订历史

添加了设计实例文件的链接。
為更正第3-7页“DDR I/O时序”的错误内容而进行了更新
为反映新GUI的改动而进行了更新。
添加Cyclone III器件至所支持的器件列表
为反映Quartus II 6.0发布而进行了更新,添加了ModelSim仿真信息更新了设计实例。
为反映新GUI的改动而进行了更新
为反映采用的新文档组织形式和进行的GUI改动而进行了更新。
}

我要回帖

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信