vhdl状态机连续报错三个相同类型statement must have'xxxxx', but found PROCESS instead

在下初学vhdl状态机下面是一个D触發器的vhdl状态机代码,感觉没错但是Quartus2在编译的时候总是不能成功,提示:

1.我知道这个程序如果不用wait语句而是直接在PROCESS后面加敏感信号是可以嘚我只是想知道我的这个程序哪里有问题。

3.这个程序什么都不该如果编译时不选全编译(Full Compilation)而选择分析当前文档(Analyze Current File)的话也是能通过嘚

}

豆丁精品文档: 勾股定理复习题 黨章知识复习题 二级建造师复习题集 浮力复习题 木兰诗复习题 实数复习题 分式复习题 送东阳马生序复习题 十八大复习题 压强复习题

}

我要回帖

更多关于 vhdl状态机 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信