quartusii怎么romverilog 调用rommodelsim

在quartus中直接调用Modelsim的方法_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
在quartus中直接调用Modelsim的方法
你可能喜欢->【FPGA/CPLD助学小组】
quartus能够调用modelsim,但是仅在原来没有开modelsim的时候可以。一旦已经进行了一次仿真,又没有关掉modelsim,再一次在quartus中点RTL仿真的时候就调用不了了。这种问题怎么解决?查看: 3631|回复: 1
如何在Quartus II 中调用Modelsim SE进行门级仿真调试
背景:Win10--64位----Quartus II 13.0sp1(64-bit)--Modelsim SE-64 10.1c
本文结合一个简单的例程--数据选择器,教大家初步使用Quartus II和Modelsim SE,并进行联合调试,同时也是对自己学习的一个总结!0、默认读者已经安装好Quartus II 13.0sp1(64-bit)和Modelsim SE-64 10.1c; 1、建立一个工程:File--&New ProjectWizard首先弹出Intorduction界面,单击Next进入下一个界面,设置工程名和存储路径,设置如下:(工程名和存储路径可以随意设置,但是建议将工程文件放在一个文件夹内,如:E:\FPGA_practice\Slect_dat)
&&继续点击Next,设置保持默认,直到弹出Family&DeviceSettings界面,选择自己的开发板器件型号,如图:(为后面进行板级调试做准备)
&&继续点击Next,弹出EDA Tool Setting界面,选择仿真环境,设置如下:设置完成后,点击Finish。
& &&&<font color="#、新建工程顶层文件:File--&New弹出如图所示文件窗口,在这里选择Verilog HDL file文件
输入以下测试代码:module Slect_dat(
& &input D0,D1,S,
& &output reg Y
& &always @(D0,D1,S)
& &if(S)Y=D1;
& &else Y=D0;
endmodule然后保存该文件,默认保存路径为当前的工程文件夹,所以采用默认设置。保存后,文件会出现在左上角ProjectNavigator框图里的File文件夹下。选择菜单Processing--&StartCompilation,进行编译,无error即可。 3、建立Verilog HDL测试文件在新建工程的过程中,我们已经设置了仿真环境为Modelsim(如果没有设置仿真环境为Modelsim,需要进行配置,配置成功后才能进入下一个环节(:Assignments--&Settings--&EDATool Settings--&Simulation,选择仿真环境为Modelsim))。选择菜单栏下的Processing--&Start--&StartTest Bench Template Writer选项,随后弹出Test Bench Template Writer WasSuccessful,此时就创建了一个Verilog HDL测试文件,如图:
刚才生成的VerilogHDL测试文件的默认存储路径在工程路径下的/simulation/modelsim文件夹下,文件名为Slect.vt,在QuartusII 13.0sp1(64-bit)中打开这个文件,删除原来的内容,输入以下测试代码,然后重新进行编译:Processing--&StartCompilation,无error即可。`timescale 1 ns/ 1 ps
module Slect_dat_vlg_tst();reg D0;
//wires& && && && && && && && && && && && && && && &
wire Y;// assign statements (ifany)& && && && && && && && &
Slect_dat i1 (
// port map - connection between master ports andsignals/registers&&
initial& && && && && && && && && && && && && && && &&&
begin& && && && && && && && && && && && && && && && &
// code that executes onlyonce& && && && && && && &&&
// insert code here --&begin& && && && && && && && &
& && && && && && && && && && && && && && && && && && &
// --&end& && && && && && && && && && && && && && &&&
//$display(&Running testbench&);
& &S=0;D1=0;D0=0;
#1 S=0;D1=0;D0=1;
#1 S=0;D1=1;D0=0;
#1 S=0;D1=1;D0=1;
#1 S=1;D1=0;D0=0;
#1 S=1;D1=0;D0=1;
#1 S=1;D1=1;D0=0;
#1 S=1;D1=1;D0=1;
& && && && && && && &
end& && && && && && && && && && && && && && && && && &
//always& && && && && && && && && && && && && && && && &
// optional sensitivitylist& && && && && && && && &&&
// @(event1 or event2 or .... eventn)
initial& && && && && &&&
begin& && && && && && && && && && && && && && && && &
& && && && && && && &&&
$monitor($time,&:\tS=%b\tD1=%b\tD0=%b\tY=%b&,S,D1,D0,Y);& && && && && && && && && && && && && && && && && &
& && && && && && && && && && && && && && &
end& && && && && && && && && && && && && && && && && &
endmodule 4、仿真环境的详细配置选择菜单栏的Tool--&Options选项,在弹出的窗口中选择General--&EDA ToolOptions,如图所示,设置仿真软件ModelSim的安装目录,然后点击OK,完成设置。接着选择菜单栏下的Assignments--&Settings,在弹出的界面中选择EDA ToolSettings--&Simulation,设置如下图所示:
然后点击上图中的Text Benches,在弹出的界面中点击New,如图进行设置:
& & 5、开始仿真一切准备就绪,选择菜单栏下面的Tool--&StartSimulation Tool--&RTL Simulation,进行门级仿真,过一会Modelsim SE-6410.1c便会自行启动,如图所示:
选择左边Library框图下的work--&Select_dat_vlg_tst,点击右键选择Simulation,弹出界面如图所示:
选择左边的Sim-Default框图列表中的Slect_dat_vlg_tst,右击,选择Addto--&Wave--&All items inregion,此时Wave-Default框图的列表中则会出现我们需要观察的变量,选择菜单栏Simulate--&Run--&Run-All,系统开始仿真,可以通过观看波形,验证系统设计的正确性!仿真结果如图所示:
& &&&GOOD LUCK!
51黑有你更精彩!!!
Powered by后使用快捷导航没有帐号?
查看: 344|回复: 0
quartusII调用Modelsim仿真步骤_经过验证
打开工程目录,看到多了一个“ulation”文件夹,再打开该文件夹下的“modelsim”
文件夹。看到有三个文件,其中.vo 文件就是我们的代码布局布线信息。仿真还需要几个文
件,我们把它们都拷贝到该目录下。
4、 打开processing下的start目录下的start& &test bench template writer自动创建后
缀为vt的文件。
5、 点击file&open打开simulation/modelsim/clkdiv.vt&&在3步文件下,对VT文件进行
编辑。编写一个工程RTL 源码的Testbench&&文件,用于作为仿真激励(具体如何编写清参
考相关数据文档资料)。这个实例的testbench 如下:
// code that executes only once
// insert code here --& begin
// --& end
$display(&Running testbench&);
// optional sensitivity list
// @(event1 or event2 or .... eventn)
// code executes for every event on sensitivity list
// insert code here --& begin
// --& end
根据自己的需要修改成自己所需要的程序(举例:)
initial begin
#10 clk = ~ //产生50MHz 的时钟
initial begin
rst_n = 0;
#1000 rst_n = 1; //上电后1us 复位信号
6、 打开“C:\ara\91\qus\eda\sim_lib”(具体quartus 软件安装文件的根目录
视您的实际情况而定,大体路径都一样),因为我们用的是cycloneii器件,所以把
“cycloneii_atoms.v”拷贝到3 中提到的目录下,这个文件是仿真元件库
具体的操作请看PDF的文档!
(434.45 KB, 下载次数: 0 )
16:07 上传
点击文件名下载附件..
阅读权限: 10
获取手机验证码拒绝访问 | www.ggdoc.com | 百度云加速
请打开cookies.
此网站 (www.ggdoc.com) 的管理员禁止了您的访问。原因是您的访问包含了非浏览器特征(3ebad-ua98).
重新安装浏览器,或使用别的浏览器}

我要回帖

更多关于 modelsim仿真rom 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信