什么芯片可以把i2s信号4进2出

捷配欢迎您!
微信扫一扫关注我们
当前位置:&>>&&>>&&>>&嵌入式系统中I2S总线数据通信的软件模拟
  I2S(InterIC Sound Bus)是飞利浦公司针对数字音频设备之间的音频数据传输而制定的一种标准,采用沿独立的传输时钟与数据信号的设计,通过分离数据和时钟信号,避免了时差诱发的失真。I2S简单有效,可以有效提升输出数据的质量,在各种嵌入式音频系统中有广泛应用。但是在嵌入式音频系统设计中,并不是所有的都支持I2S总线格式,再加上I2S还没有统一的接口标准,不同的厂家生产的设备接口也是五花八门,采用软件模拟实现I2S总线可有效解决在不支持其的MCU和设备之间通过I2S总线实现数据传输时出现的问题。
  本文通过在以太网数字语音广播系统中软件模拟I2S总线实现语音数据传输,给出了软件模拟实现I2S总线的方法。
  1& I2S总线规范
  I2S为三线总线,3个信号分别为:
  ①& 串行时钟SCK,也叫位时钟(BCK)。即每发送1位数字音频数据,SCK上都有1个脉冲。SCK的频率=2×采样频率×采样位数。在数据传输过程中,I2S总线的和接收器都可以作为系统的主机来提供系统的时钟频率。
  ②& 帧时钟WS,即命令(声道)选择,用于切换左右声道的数据。WS的频率等于采样频率,由系统主机提供。WS为“0”表示传输的是左声道的数据,WS为“1”表示传输的是右声道的数据。
  ③ 串行数据信号SD,用于传输二进制补码表示的音频数据。
  I2S格式的信号无论有多少位有效数据,数据位的最高位(MSB)总是被最先传输,1次能够发送的数据决定于I2S格式的有效位数。
  1个典型的I2S信号时序如图1所示
图1& I2S总线典型时序图
  随着技术的发展,在统一的I2S接口下出现了多种不同的数据格式。根据SD信号相对于SCK和WS位置的不同,分为左对齐和右对齐2种格式,如图2和图3所示。
图2& 16位有效位数的左对齐格式
图3& 16位有效位数的右对齐格式
  在上面2种数据传输格式中,当WS为“1”时传输的是左声道的数据,当WS为“0”时传输的是右声道的数据。为了保证数字音频信号的正确传输,发送端和接收端必须采用相同的数据格式。
  2& 软件模拟I2S
  以太网数字语音广播系统主要是指以以太网为传播介质提供音频服务的广播系统,将语音信号以标准IP包的形式在以太网上进行传送,以实现语音广播功能。该系统在PC机端通过麦克风采集语音数据,然后将采集到的语音数据通过以太网发送给嵌入式终端,嵌入式终端将接收到的语音数据进行数/模转换后进行播放。系统实现框图如图4所示[5]。
图4& 以太网数字语音广播系统框图
图5& 与的连接图
  广播系统终端的MCU LM3S8962将接收到的语音数据通过I2S总线发送给语音解码芯片MS6336进行数/模转换并播放,
  LM3S8962和MS6336的连接如图5所示。为了完整还原出语音信号,需要保证软件模拟I2S信号时序严格精确,高低电平的转换采用延时程序实现。MS6336为16位D/A芯片,其I2S时序如图6所示。
图6& MS6336的典型I2S时序图
  该系统中的语音数据双声道,16位采样,1个采样点的数据为4字节,1个采样点数据通过MCU发送给MS6336,数据发送流程如图7所示。
图7& 1个采样点数据发送流程
  软件模拟I2S引脚的定义如下:
  #define I2S_WS GPIO_PIN_5//模拟I2S声道选择时钟控制位
  #define I2S_BCK GPIO_PIN_6//模拟I2S位时钟控制位
  #define I2S_DATA GPIO_PIN_7//模拟I2S数据传送位
  根据MS6336的I2S总线格式以及数据发送流程,软件模拟I2S总线的步骤如下:
  ① 根据语音数据采样率及采样位数计算得到SCK的值和WS的值(WS的值等于采样频率)。
  系统采样率为44.1 kHz,则SCK=2×44.1 kHz×103×16=1 411 200 Hz,WS=44 100 Hz。1个SCK时钟周期T=1/SCK=07 μs。采用延时程序模拟SCK时钟周期需要应用来精确延时时间。本系统中一个SCK周期的延时为delayI2S(2)。
  ② 将WS、BCK和DATA均置为高电平。
  ③ 选择左右声道。首先发送左声道数据,将WS置低(若发送右声道数据则将WS置高)。
  for(ChannelCnt=0;ChannelCnt&2;ChannelCnt++){//双声道选择播放
  if(ChannelCnt==0){//左声道选择信号为低电平
  HWREG(GPIO_PORTA_BASE + (GPIO_O_DATA + (I2S_WS && 2))) = ~I2S_WS;
  else{//右声道选择信号为高电平
  HWREG(GPIO_PORTA_BASE + (GPIO_O_DATA + (I2S_WS && 2))) = I2S_WS;
  ④ 选择高低字节。将左声道数据以高低字节的顺序发送,在发送高字节第1位数据之前先发送1个周期的串行时钟。
  for(HorLCnt=0;HorLCnt&2;HorLCnt++){//声道数据高低字节选择
  if(ChannelCnt==0){//左声道
  if(HorLCnt==0){//高字节
  c=*(SampleData+1);//SampleData指向音频数据缓冲区首地址,即左声道低字节
  delayI2S(2);//发送第一个数据位之前需要延迟一个位时钟周期
  else{//低字节
  c=*SampleD
  ⑤ 开始传输音频数据(音频数据的传输在SCK下降沿准备数据,在SCK的上升沿发
  送给数据接收端)。将采样点左声道数据的高字节最高位送给SD,设置SCK为低电平,此时为SCK的下降沿,数据准备好。延时半个SCK周期以后将SCK置为高电平,此时SD线上的数据发送给数据接收端,延时半个SCK周期。依次将左声道剩余位数据按照最高位的方式发送出去。
  for(BitCnt=0;BitCnt&8;BitCnt++){//1次传送的数据长度为8位,先传送高字节再传送低字节置时钟线SCK为低,开始准备数据位
  HWREG(GPIO_PORTA_BASE + (GPIO_O_DATA + (I2S_BCK && 2))) = ~I2S_BCK;
  if((c&&BitCnt)&0x80) {
  HWREG(GPIO_PORTA_BASE + (GPIO_O_DATA + (I2S_DATA && 2))) = I2S_DATA;
  HWREG(GPIO_PORTA_BASE + (GPIO_O_DATA + (I2S_DATA && 2))) = ~I2S_DATA;
  delayI2S(1); //延时半个SCK时钟周期置时钟线为高,开始传输数据位
  HWREG(GPIO_PORTA_BASE + (GPIO_O_DATA + (I2S_BCK && 2))) = I2S_BCK;
  delayI2S(1);//延时半个时钟周期
  ⑥ 当将1个采样点的左声道的数据发送完以后,延时2个SCK周期,然后发送右声道的数据(同左声道数据的发送过程)。
  根据以上的过程对每个采样点的数据进行处理,就可以实现通过软件模拟I2S总线传输音频数据了。以上实现的是典型I2S时序模拟,而左对齐和右对齐2种格式只是时序稍有差异,模拟实现过程同典型I2S总线模拟实现过程基本相同。
  在以太网数字语音广播系统中应用软件模拟实现I2S总线时序,能够成功地实现语音信号的数据传输,实现语音信号的实时广播,表明了软件模拟实现I2S总线的可行性,为解决不支持I2S总线的MCU和各种I2S总线设备间的通信提供了一种可行的方法。但是,在应用软件模拟实现I2S的以太网数字语音广播系统实时播放语音信号时,存在一些噪声,表明在采用软件模拟实现I2S的时序精确性上存在一些欠缺。&&来源:
技术资料出处:dai_weis
该文章仅供学习参考使用,版权归作者所有。
因本网站内容较多,未能及时联系上的作者,请按本网站显示的方式与我们联系。
【】【】【】【】
上一篇:下一篇:
本文已有(0)篇评论
发表技术资料评论,请使用文明用语
字符数不能超过255
暂且没有评论!
12345678910
12345678910
近年来,在政府对汽车安全法令的贯彻和实施、消费者驾乘体验及自动驾驶的趋势推动下,领域呈爆发式增长。汽车图像传感有着广泛的应用领域,具有卓越性能和先进的图像处理能力的图像传感器在提高行车安全的同时还提升用户驾乘体验,成为近年来汽车领域的炙手可热的技术。预测显示,...[][][][][][][][][][]
IC热门型号
IC现货型号
推荐电子百科嵌入式(16)
以下内容来自网蜂科技A8教程
初识 I2S 总线
I2S 总线是近年来出现的一种面向多媒体计算机的音频总线,专门用于音频设备之间的数据传输,为数字立体声提供一个连接至标准编码解码器的总线。S5PV210 内置一个 I2S 总
线控制器,实现了到一个外部立体声音频 CODEC IC的接口,支持 I2S 总线数据格式和 MSB-justified、LSB-justified 数据格式。该接口对 FIFO 的访问采用 DMA 模式,它可以在
同一时间接收和发送数据。
I2S 关键特性
每个通道有 8/16/24 位的串行数据传输支持 I2S,MSB-justified 和 LSB-justified 数据格式
支持主/从模式
I2S 总线数据传输
对于发送和接收 FIFO,I2S 控制器有一个 FIFO 准备标志位。当 FIFO 准备发送数据时,如果 FIFO 非空,FIFO 准备标志位置为 1,如果 FIFO 为空,则 FIFO准备标志位置为 0。当 FIFO 准备接收数据时,如果 FIFO 非满,对应 FIFO 准备标志位置为 1,可以接收数据,如果 FIFO 为满,FIFO 准备标志位置为 0.通过这些标志们可以决定 CPU 读写 FIFO 的时间。采用这种方法,当 CPU 在访问发送接收 FIFO 的同时,串行数据也能被发送和接收。
在 DMA 传输模式下,发送或接收 FIFO 对 DMA 控制器是可以访问的,在发送或接收模式下的 DMA 服务请求是由 FIFO 准备标志自动执行的。
音频串行接口格式
I2S 总线包括四条线:串行数据输入(I2SDI)、串行数据输出(I2SDO)、左右通道选择(I2SLRCK)和串行位时钟(I2SCLK)。产生 I2SLRCK 和 I2SCLK 的设备是主设备。串行数据以二进制的补码形式发送,MSB(高位)先发。高位先发的设计是考虑到发送器和接收器的字长可能不同,这样,发送器就不必知道接收器能接收多少位,接收器也不用知道有多少位正在被发送。
左右通道的选择指出了正在传输的通道。I2SLRCK 可以在串行时钟的下降沿或上升沿被改变,而且不需要进行同步。对于从设备而言,信号在串行时钟的下降沿或上升沿被锁存。因此,I2SLRCK 线在 MSB 发送前的一个时钟周期改变。这样就使得从设备的发送器能够为即将传输的串行数据设立同步时间。此外,接收器也能及时存储上一个字,然后清空输入,为下一个字的接收做准备。
I2S 实例(wm8960 音频实例)
上面 5 个引脚的一般功能用途:
LRCK & ---- 左右声道控制信号
SCLK & ---- 串行时钟
SDI &---- 数据输入
SDO &---- 数据输出
CDCLK &---- 为编解码芯片提供系统同步时钟
.global _start
.global IRQ_handle
ldr sp, =0x
@设置栈,以便调用c函数
mov r0, #0x53
@进入SVC模式,开中断(把I位设为0)
msr CPSR_cxsf, r0
@调用main函数
IRQ_handle:
ldr sp, =0xD0037F80
sub lr, lr, #4
@计算返回地址
stmfd sp!, {r0-r12, lr}
bl do_i2c_irq
@跳转到中断处理函数
ldmfd sp!, {r0-r12, pc}^ @恢复现场
CPU 从_start:标号开始跑,首先设置栈、接着进入 SVC 模式,并打开中断,然后就进入 main 函数了。那么 IRQ_handle:标号什么时候得以执行呢?发生中断的时候,就会执行!它的作用就是保存现场、跳转到中断处理函数、最后恢复现场。
int main(void)
int offset = 0x2E;
//wav文件头部的大小
short *p = (short *)0x;
//下载音乐文件到这个地址,CPU从0x取得音频数据
led_init();
//初始化LED
sys_clock_init();
//初始化时钟
uart_init();
//初始化串口
printf(&\n^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^\n&);
printf(&\n
This is a wm8960--&I2S test.\n&);
printf(&\n
Write by WebeeA8 member: %d \n&,2013);
printf(&\n^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^\n&);
irq_init();
//初始化中断
i2c_init();
//初始化I2C
wm8960_init();
// 初始化wm8960
i2s_init();
// 初始化I2S
// polling
Primary Tx FIFO0 full status indication.
while((IISCON & (1&&8)) == (1&&8));
IISTXD = *(p+offset); // 每次发送2byte
if (offset & (x2e) /2) // 有多少个2byte = (文件大小-偏移)/2 ,882046(十进制)是文件的大小
offset = 0x2E;
// replay from wav data offset
printf(&\nOnce again...... ^_^\n&);
led_flash();
void irq_init(void)
/**这里主要是初始化 I2C0 中断,为什么会用到 I2C0 呢,第 17、18 引脚2C 的功能主要是用来控制 CPU
与 wm8960 芯片之间的传输信息,比如调节音量的大小、是否静音、如何优化音
质等,I2S 的作用就是负责传输声音数据,wm8960 芯片的作用是编解码音频*/
pExceptionIRQ
= (unsigned long)IRQ_
/* 设置为IRQ中断 */
VIC1INTSELECT &= ~(1&&14);
/* 使能中断(中断控制器里面的) */
VIC1INTENABLE |= 1&&14;
/* 设置中断向量 */
VIC1VECTADDR14 = (int)IRQ_
void i2s_init(void)
/* 设置对应GPIO用于I2S */
GPICON = 0x;
/* 设置锁相环
* SDIV [2:0]
: SDIV = 0x3
* PDIV [13:8] : PDIV = 0x3
* MDIV [24:16]: MDIV = 0x43
[29]: 1 = 使能锁
[31]: 1 = 使能锁相环
* Fout = (0x43+0.7)*24M / (3 * 2^3) = 67.7*24M/24 = 67.7Mhz
EPLL_CON0 = 0xa8430303;
//MPLL_FOUT = 67.7Mhz
EPLL_CON1 = 0
// K = 0xbcee
/* 时钟源的设置
* APLL_SEL[0] :1 = FOUTAPLL
* MPLL_SEL[4] :1 = FOUTMPLL
* EPLL_SEL[8] :1 = FOUTEPLL
* VPLL_SEL[12]:1 = FOUTVPLL
* MUX_MSYS_SEL[16]:0 = SCLKAPLL
* MUX_DSYS_SEL[20]:0 = SCLKMPLL
* MUX_PSYS_SEL[24]:0 = SCLKMPLL
* ONENAND_SEL [28]:1 = HCLK_DSYS
CLK_SRC0 = 0x;
/* 时钟源的进一步设置(AUDIO SUBSYSTEMCLK SRC)
* bit[3:2]: 00 = MUXi2s_a_out来源于Main CLK
: 1 = Main CLK来源于FOUT_EPLL
CLK_CON = 0x1;
/* 由于AUDIO SUBSYSTEMCLK DIV寄存器使用的是默认值,故分频系数为1 */
// IISCDCLK
11.289Mhz = 44.1K * 256fs
// IISSCLK
1.4112Mhz = 44.1K * 32fs
// IISLRCLK
/* 预分频值
* bit[13:8] : N = 5
: 使能预分频
IISPSR = 1&&15 | 5&&8;
/* 设置IIS控制器
* bit[0]: 1 = 使能IIS
IISCON |= 1&&0 | (unsigned)1&&31;
/* 设置各个时钟输出
* bit[2:1]:IISSCLK(位时钟)
44.1K * 32fs = 1.4112Mhz
* bit[3:4]:IISCDCLK(系统时钟) 44.1K * 256fs = 11.289Mhz
* bit[9:8]:10 = 既可以发送又可以接收
* bit[10] :0 = PCLK is internal source clock for IIS
IISMOD = 1&&9 | 0&&8 | 1&&10;
}#define   WM8960_DEVICE_ADDR&span style=&white-space:pre&&
&/span&0x34&span style=&white-space:pre&& &/span&//wm8960的设备地址
void wm8960_init(void)
/* 复位,让其他所有的寄存器恢复到默认值 */
wm8960_write(WM8960_DEVICE_ADDR, 0xf, 0x0);
/* 打开电源,使用fast start-up模式 */
wm8960_write(WM8960_DEVICE_ADDR, 0x19, 1&&8 | 1&&7 | 1&&6);
/* 任然是打开电源 */
wm8960_write(WM8960_DEVICE_ADDR, 0x1a, 1&&8 | 1&&7 | 1&&6 | 1&&5 | 1&&4 | 1&&3);
/* 左右声道输出使能 */
wm8960_write(WM8960_DEVICE_ADDR, 0x2F, 1&&3 | 1&&2);
/* 设置时钟,使用的都是默认值 */
wm8960_write(WM8960_DEVICE_ADDR, 0x4, 0x0);
/* 关键是将R5寄存器的bit[3]清零,关闭静音功能 */
wm8960_write(WM8960_DEVICE_ADDR, 0x5, 0x0);
/* 设置通信协议方式:如数据是24位,即IIS,左右声道时钟电平是否反转 */
wm8960_write(WM8960_DEVICE_ADDR, 0x7, 0x2);
/* 设置左右声道输出的音量 */
wm8960_write(WM8960_DEVICE_ADDR, 0x2, 0xFF | 0x100);/* 控制左声道的 */
wm8960_write(WM8960_DEVICE_ADDR, 0x3, 0xFF | 0x100);/* 控制右声道的 */
wm8960_write(WM8960_DEVICE_ADDR, 0xa, 0xFF | 0x100);/* 控制左声道的 */
wm8960_write(WM8960_DEVICE_ADDR, 0xb, 0xFF | 0x100);/* 控制右声道的 */
/* 使能通道,否则会静音 */
wm8960_write(WM8960_DEVICE_ADDR, 0x22, 1&&8 | 1&&7);/* 控制左声道的 */
wm8960_write(WM8960_DEVICE_ADDR, 0x25, 1&&8 | 1&&7);/* 控制右声道的 */
&&相关文章推荐
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:129834次
积分:3262
积分:3262
排名:第10499名
原创:195篇
转载:58篇
评论:22条广州市仕创电子科技有限公司
联系人:林先生
电 &话:020-
传 &真:020-
邮 &箱: lhqjtc804@
网 &址:www.
地 &址:广东省广州市白云区嘉禾街鹤龙一路28号金泰创意园I栋602室
4进2出 HDMI高清板
产品分类:4进2出
点击次数:533
【】&&【】
型号:HM98-6是一款四输入二输出的HDMI 解码板,它支持HDMI 1.4版本协议,支持4K 信号,3D,CEC,ARC 等功能,用户可以实现音视频解码,输入切换和HDMI发送等主要功能。功能特点:HDMI1.4版本接收解码,HDMI1.4版本编码发送。4 输入2输出切换开关.完成音视频解码。支持 4K高清信号。支持 3D,ARC,CEC功能。四路 I2S信号输出,一路SPDIF信号输出。支持次世代音频,支持无损压缩,有损压缩音频。支持 HDMI深色和xvYCC.防静电设计。支持音频格式:SPDIF信号:输出PCM,LPCM,Dolby Digital,Dolby Digital-EX, Dolby HDplus,Dolby-HD true,Dts,Dts-es,Dts-HD resolution,Dts-HD master等数字信号。采样率:8CH 24Bit/192K支持视频格式:720x480P60,720x576P50,,,,,,,【详细资料下载】
Copyright(C)2013 广州市仕创电子科技有限公司版权所有. & &&& & &&&&新浪广告共享计划>
广告共享计划
I2S数字接口小谈
发烧友们所熟悉的数字传输接口,最常见的包括SPDIF(光纤或同轴)、AES/EBU、USB及火线。其中AES/EBU又称“平衡数字接口”,常用于专业领域,因为它有长距离传输抗干扰的优势,适合用于动辄几十米传输线的专业领域里,理论上支持长达1公里的传输距离,SPDIF则主要用于民用领域,比如光碟播放机、电脑声卡,传输距离一般不超过10米。USB和火线则是近年来PC-Fi的流行所带来的结果。其中USB越来越流行和多见,火线接口则逐渐式微。在USB3.0标准出现之前,火线有着传输速率高的独特优点,但USB3.0的出现使得火线已经丧失优势,因此它很快退出了大家的视野。
除了这些熟悉的数字接口外,还有一种数字传输方式,其实早已存在,一直用于机内的数字信号传输,但很少用于机器-机器之间的传输。这就是I2S(其实应该是读作“I平方S”而不是“I二S”,全称Integrated
Sound)。这是飞利浦公司创立的一个标准,从音频角度来看,其独特的优点是时钟信号有独立的传输线,也就是数据和时钟分离。这和SPDIF传输那种数据和时钟信号一体化的传输,是两种方式和思路。
I2S相对于光纤和同轴的另外一个优点是,它少转换环节,更加直接。我们知道光纤和同轴的传输,有一个转换环节:SPDIF是一种把数据和时钟信号按一定规则合为一体后传输的方式,传输的是合成信号,在接收端,有一个“时钟恢复”的步骤,即从SPDIF合成信号中恢复出时钟,进行数据和时钟信号的分离。而I2S本身就是传输分离的信号,因此发送端和接收端都少了一个环节。
I2S用于机器和机器之间的数字信号传输,有几个不同的做法,比如意大利北极星、韩国Stello的产品,使用RJ45的接口,即电话线、网线的那种接口。这种做法传输距离很短,易受干扰,一般都随机附送了很短的接线,且没有什么“发烧线”可升级。美国PS
Audio、Wyred 4 Sound(经常简称为W4S)等厂家则采用了HDMI接口来传输I2S信号,称为I2S Over
HDMI。其接口就是标准的HDMI口,使用的接线就是HDMI线,但传输的不是影音数字信号,而是I2S数字音频信号。下图为美国Wyred
4 Sound的解码器DAC2,可以看到这种I2S Over HDMI口。HDMI一共有19根数据线,在传输I2S信号时,采用了低压差分信号的传输方式,同时相邻的信号间以地线隔离,一共使用了12根数据线来完成传输,是一种比RJ45网线口更为优越的方式。&
罗马尼亚Audiobyte的产品也采用了这种做法(其设计师原先为PS
Audio设计解码),在其Hydra Z数字界面背后,可以看到I2S Over HDMI口,我试听对比过用它接驳到Wyred 4
Sound的解码,对比同轴和AES/EBU,结果十分明朗——I2S确实是声音素质最优的,有着明显更透明的音色、更丰富的声场信息、更为凝聚的结像。对比之下,即便用了很高级的同轴线,同轴传输还是要素质明显弱一些,表现为透明度略差、结像力稍弱、声场小一圈。专业领域内的标准AES/EBU也不敌I2S的透明度和结像力。在大家都用很好线材的情况下,I2S至少在透明度、结像力等方面,是明显的赢家。同轴若说有什么好处,主要还是更容易做到很好的厚度(特别是使用厚声线材的话)。个人的经验也是,同轴是最适合通过线材来调整声音的一个环节,可以调整的余地最大,同轴线也有相对最多的牌号选择,但纯论声音的硬素质,I2S具有明显的优势。
不清楚未来这种I2S Over
HDMI接口是否会有进一步的发展,被更多厂家所采纳,但我觉得对此感兴趣的发烧友,可以试着搞搞有这种接口的USB界面、解码器,尝试下I2S
Over HDMI的优点。下图为Audiobyte Hydra
Z数字界面,也有这种接口(位于AES和光纤口之间)。
最后,顺便也介绍一下美国W4S的这款DAC2解码器。我听的是其最高档版本DAC2
DSD-SE特别版,2550美金,具备I2S接口,输出电平可以设置为固定或可变,内部为飞秒时钟模块、9018解码芯片。我觉得这款产品的性价比还是很高的,价格不到人民币2万,但是各方面素质都完全达到了2万元解码器的期望和水准,其声音走向中性、细腻、透明,但也毫不干冷,有着流畅顺滑的音乐表达。总之这是一款值得关注的纯解码器。
当然,其USB接口的素质虽然已经很不错,但对比Hydra Z界面,还是明显Hydra更强!电脑 - Hydra Z - I2S传输 -
DAC2,这样一套出来的声音非常优秀。包括Vega直接USB到电脑,和加载Hydra界面(同轴连接),差别也很明显——加载Hydra的声音明显更为饱满、结实和好听。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。&&&&&&& 之前在某平台上调试一块降噪芯片,芯片跟cpu的连接如下。通过ii2配置芯片工作状态,通过spi下载固件(可通过iic下载,但速度较慢),媒体声音通过第一路iis把数据给芯片,芯片通过第二路iis将mic采集到的数据传给cpu。由于该芯片在另一平台已经调通,以为很快就可以调通该芯片。该开始系统扫描不到固件的位置,添加添加固件路径解决。。后来遇到由于spi通信失败导致下载固件失败,后来查到芯片的某一路电源没供上。芯片能采集到第一路i2s的声音,但系统采集不到mic的声音,查看第二路i2s的时钟正常,数据上没有数据输出。通过手工往第二路i2s的数据线上输入信号,系统能检测到。所以系统的录音功能应该是没有问题。硬件的同事查到芯片的mic输入引脚根本没有信号,更改电路后,芯片的mic输入引脚有信号了,但还是采集不到mic信号。一度怀疑芯片没有工作正常,将芯片的引脚电压全量了一遍,没发现异常。找了很久原因,但找不出异常。对比调试好的平台上,发现录音的时候,第一路iis的时钟也是有的,但这目前这个平台上录音的时候,第一路i2s的时钟是没有的。由于该芯片处于蓝牙模式,两路i2s是需要同时工作的。尝试让系统在后台播放音乐,然后系统再录音,就能成功录音了。
本文已收录于以下专栏:
相关文章推荐
1、I2S概述
既然要学习I2S,就要想、首先知道他是干什么用的。
I2S(Inter—IC Sound)总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总...
转自http://blog.csdn.net/gotowu/article/details/
1、I2S概述
既然要学习I2S,就要想、首先知道他是干什么用的。
程序员升职加薪指南!还缺一个“证”!
CSDN出品策划程序员9月规划,专为码农的升职加薪保驾护航,程序员的捷径,你get到了吗?听说阅读了这篇文章的人,都已实现了小梦想~快来揭秘!
I2S总线概述
    音响数据的采集、处理和传输是多媒体技术的重要组成部分。众多的数字音频系统已经进入消费市场,例如数字音频录音带、数字声音处理器。对于设备和生产厂家来说,标准化的信息...
要写I2S驱动,对于硬件也要了解。I2S是一种常用的数字音频接口。总线值处理音频数据,像编码和控制这样的其他信号被转移分开。I2S接口传输或者接受声音数据来自于外部立体声音频编码器。用于传输和...
原文转自:http://blog.chinaunix.net/uid--id-3501979.html
I2S(Inter—IC Sound)总线是飞利浦公司为数字音频设备之...
原文链接:http://blog.csdn.NET/gotowu/article/details/
Linux内核---49.i2s驱动分析1测试
IIS音频总线学习(一)数字音频技术
一、声音的基本概念
    声音是通过一定介质传播的连续的波。
重要指标:
振幅:音量的大小周期:重复出现的时间间隔频率:指信号每秒钟变化的次...
I2S(Inter-IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。在飞利浦公司的I2S标准中,既规定了硬件接口规范,也规定了数字音频数据的格式。
1、在调试中出现问题后,首先看I2C通信有没有问题,wm8960寄存器的设置是靠I2C来完成,另外I2C通信不成功在开发板中是没
     有声卡相关的设备节点。
      a、首先查看Machin...
您举报文章:
举报原因:
原文地址:
原因补充:
(最多只允许输入30个字)}

我要回帖

更多关于 i2s音频芯片 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信