怎样用两块4位verilog数值比较器器芯片实现两个7位二进制数的

一位数值比较器与多位数值比较器
查看: 8807|
摘要: 一、1位并行数值比较器 令两个一位的二进制数分别为,比较结果。
二、多位数值比较器 多位数值比较器通常用“高位优先”的比较原则,如二个四位的数值比较器A和B,A=A3A2A1A0、B=B3B2B1B0,只要A3B3,则AB;A3B3, ...
&一、1位并行数值比较器
令两个一位的二进制数分别为,比较结果。
二、多位数值比较器
多位数值比较器通常用“高位优先”的比较原则,如二个四位的数值比较器A和B,A=A3A2A1A0、B=B3B2B1B0,只要A3&B3,则A&B;A3&B3,则A&B;在高位相等的时候,按同样的原则比较次高位,如此进行,直到最低位比较完毕。
例1:试设计一个四位数码比较器,要求除比较本四位以外,在本四位相等时,还能比较低位的比较结果输入,以便能实现更多位的比较。
得出三个输出函数式如下:
多位数值比较器(基本框图):
上一篇:下一篇:
Powered by &
这里是—这里可以学习 —这里是。
栏目导航:两片74LS85组成8位数值比较器
发布时间: 9:52:13
访问次数:2493
& & & &实验内容及实验步骤.将数字逻辑电路实验箱扩展板插在实验箱相应位置,并固定好,插上实验需要的芯片,用门电路组成一个半加器,连线并验证其逻辑功能,自拟真值表,并将实验结果填入表3.58。
& & & 用门电路组成一个全加器,连线并验证其逻辑功能,自拟真值表,并将实验结果填入表3.59与逻辑表达式加以比较。
& & & 用全加器完成8位二进制数的相加,验证其逻辑功能。
& & & 自己连线,验证74LS85的逻辑功能。
& & & 数值比较器的扩展.数值比较器的扩展方式有串联和并联两种。一般位数较少的话,用串联方式;如果位数较多且要满足一定的速度要求时,用并联方式。
& & & 这里用串联方式,用两片74LS85组成8位数值比较器。已知,对于两个8位数,若高4位相同,它们的大小将由低4位的比较结果确定。因此,低4位的比较结果作为高4位的条件,即低4位比较器的输出端应分别与高4位比较器的I和n端连接,(见图3.70)。
& & & 具体的实验方法为:在扩展板上插上两片74LS85(注意芯片插在16PIN的插座上),按照图3. 70连线,实现8位数值比较器功能。
相关技术资料
01-2706-02
相关IC型号
推荐技术资料
传媒博物馆开馆仪式隆童举行...基本内容/数值比较器
数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。比较结果有A>B、A<B以及A=B三种情况。1.一位数值比较器1位数值比较器是多位比较器的基础。当A和B都是1位数时,它们只能取0或1两种值,由此可写出1位数值比较器的真值表:由真值表得到如下逻辑表达式:由以上逻辑表达式可画出如下图所示的逻辑电路。实际应用中,可根据具体情况选用逻辑门。2.两位数值比较器 分析比较两位数字A1A0和B1B0的情况。 利用1位比较器的结果,可以列出简化的真值表如下:为了减少符号的种类,不再使用字母L,而以(Ai>Bi)、(Ai根据表达式画出逻辑图:电路利用了1位数值比较器的输出作为中间结果。它所依据的原理是,如果两位数A1A0和B1B0的高位不相等,则高位比较结果就是两数比较结果,与低位无关。这时,由于中间函数(A1=B1)=0,使与门G1、G2、G3均封锁,而或门都打开,低位比较结果不能影响或门,高位比较结果则从或门直接输出。如果高位相等,即(A1=B1)=1,使与门G1、G2、G3均打开,同时由(A1>B1)=0和(A1<B1)=0作用,或门也打开,低位的比较结果直接送达输出端,即低位的比较结果决定两数谁大、谁小或者相等。二、集成数值比较器我们以74LS85为例来说明集成数值比较器。1.集成数值比较器74LS85得功能 集成数值比较器74LS85是4位数值比较器,其功能如下:从功能表可以看出,该比较器的比较原理和两位比较器的比较原理相同。两个4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2和B2,余类推。显然,如果两数相等,那么,比较步骤必须进行到最低位才能得到结果。真值表中的输入变量包括A3与B3、A2与B2、A1与B1、A0与B0和A与B的比较结果。其中A和B是另外两个低位数,IA>B、IA<B和IA=B是它们的比较结果。设置低位数比较结果输入端是为了能与其他数值比较器连接,以便组成位数更多的数值比较器。根据1位数值比较器逻辑表达式可知:再根据74LS85的功能表可得:上式与逻辑图一致。由上式可以看出,仅对4位数进行比较时,应对IA>B、IAB=IA<B=0,IA=B=1。2.数值比较器的位数扩展现在来讨论一下数值比较器的位数扩展问题。数值比较器的扩展方式有串联和并联两种。下图表示两个4位数值比较器串联而成为一个8位数值比较器。我们知道,对于两个8位数,若高4位相同,它们的大小则由低4位的比较结果确定。因此,低4位的比较结果应作为高4位的条件,即低4位比较器的输出端应分别与高4位比较器的IA>B、IA<B、IA=B端连接。当位数较多且要满足一定的速度要求时,可以采取并联方式。下图表示16位并联数值比较器的原理图。由图可以看出,这里采用两级比较方法,将16位按高低位次序分成4组,每组4位,各组的比较是并行进行的。将每组的比较结果再经4位比较器进行比较后得出结果。显然,从数据输入到稳定输出只需两倍的4位比较器延迟器从输入到稳定输出需要4倍的4位比较器的延迟时间。
&|&相关影像
互动百科的词条(含所附图片)系由网友上传,如果涉嫌侵权,请与客服联系,我们将按照法律之相关规定及时进行处理。未经许可,禁止商业网站等复制、抓取本站内容;合理使用者,请注明来源于。
登录后使用互动百科的服务,将会得到个性化的提示和帮助,还有机会和专业认证智愿者沟通。
此词条还可添加&
编辑次数:4次
参与编辑人数:4位
最近更新时间: 10:20:33
申请可获得以下专属权利:
贡献光荣榜君,已阅读到文档的结尾了呢~~
数字逻辑&#40;第二版&#41;毛法尧课后题答案【课件】,数字逻辑第二版答案,数字逻辑课后答案,数电第二版课后答案,数字逻辑第二版,数字逻辑第三版课件,数字逻辑第四版答案,数字逻辑第四章答案,数字逻辑第三版答案,数字逻辑答案
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
数字逻辑&#40;第二版&#41;毛法尧课后题答案【课件】
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口}

我要回帖

更多关于 一位数值比较器电路图 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信