求助:请问TI的这颗主板芯片组型号怎么看型号是什么

关于汽车中的电动机应用,英国和法国已经制定了禁止内燃机(ICE)的限期,中国也在研究何时禁止内燃机车。沃尔沃已经宣布其新车将于2019年开始使用电动驱动。 本文将讨论强大的电动机,也就是牵引马达。它将在发动机推动车辆方面发挥日益重要的作用。但电动马达已经在许多其他汽车应用中占据主导地位。我们不妨来进行一个汽车的典型电机普查。 图 1:汽车中的电动机应用 现有的-和正在增加-的电机设备 &必须得有比手摇起动更好的方式来起动汽车&,至少你的曾祖父母是这么认为的...
作者: TI 工程师 YongHua Pan 在创新技术的推动下,智能锁、可穿戴设备等物联网应用已经成为时下、甚至是引领未来几年物联网快速发展的主流。 当您在进行这些物联网应用的开发时,是否也很头疼需要花费大量的时间在云端及低功耗蓝牙设备端的开发上? 是否想在节省时间的同时,便捷地获取更加强大的功能、稳定性和多重云端安全保障?德州仪器(TI)的 CC2640R2F 解决方案支持阿里云Link物联网平台,结合阿里云Link物联网平台旗下阿里智能APP SDK,帮助开发人员快速而安全的开发出产品,并保证...
作者: TI 技术应用工程师Holly Gu, Robin Yu CC2640 R2是德州仪器推出的面向 Bluetooth Smart 应用的低功耗无线 MCU。该芯片运行TI的BLE协议栈,具有功耗低,外设种类丰富,射频性能好等特点。利用它可以实现许多有趣的应用,收到了用户的广泛欢迎。 可是很多用户在量产的时候却犯难了,用XDS110 + Flash Programmer 2,效率很低,还要在GUI上各种配置,产线工人操作起来十分困难而且容易失误。买一拖多的编程器配上位机,又舍不得口袋里的银...
作者: TI 技术应用工程师Yan Zhang,Holly Gu CC2640 R2是德州仪器推出的一款面向 Bluetooth Smart 应用的低功耗无线 MCU。该芯片集成有Cortex M3内核,可以运行TI的BLE协议栈,具有功耗低,外设种类丰富,射频性能好等特点。与 CC2640 相比,R2版本的芯片将部分协议栈迁移到了片内的ROM中,留给客户的应用程序更多的Flash空间。CC2640R2芯片架构及核心特点如下图...请问这个芯片是多少g的?_百度知道
请问这个芯片是多少g的?
请问这个芯片是多少g的?型号Samsung
KMQ8X000SA-B414
您的回答被采纳后将获得:
系统奖励15(财富值+成长值)+难题奖励20(财富值+成长值)
我有更好的答案
目测了一下,8g
什么规则啊
是几伏电压的?
呃,,,这个嘛,看不清,我不是专业的,只是好像我见过
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。已解决问题
请问TI的MAX3232CDBR有代用的型号吗
问题补充:我想要求是完全代用,赏分的话我不知道怎么搞得到分,因为我是初到此地,请大侠帮忙,谢谢!
我主要想cost down
浏览次数:496
用手机阿里扫一扫
最满意答案
首先,MAX3232是Maxim-Dallas&的产品,不是TI公司的.另外,MAX3232是TTL-RS232转换芯片,你要求的代用是完全代用还是只是功能代用?芯片的代用,完全代用的话,要求引脚定义,工作电压等参数完全一致,如果只是简单代用的话,只要功能相同就可以.另外,提出问题,是否可以给悬赏分啊?现在没分的问题,不给正确答案,呵呵~~看你这么客气,不告诉你都不好意思啦!其实MAX3232是很容易得到的一个芯片,我想不出你为什么要代用它啊,它的代用型号不多,通常可以使用Sipex&SP3232&来进行替代,但是Sipex&SP3232&要比MAX3232难以获得,因此这个替代的意义并不大啊.&
答案创立者
以企业身份回答&
正在进行的活动
生意经不允许发广告,违者直接删除
复制问题或回答,一经发现,拉黑7天
快速解决你的电商难题
店铺优化排查提升2倍流量
擅长&nbsp 店铺优化
您可能有同感的问题
扫一扫用手机阿里看生意经
问题排行榜
当前问题的答案已经被保护,只有知县(三级)以上的用户可以编辑!写下您的建议,管理员会及时与您联络!
server is ok想要用一款TI公司的可以把12v同时转5v和3.3v的低功耗芯片,不知道选哪个型号的好,求大神们推荐一个。_百度知道
想要用一款TI公司的可以把12v同时转5v和3.3v的低功耗芯片,不知道选哪个型号的好,求大神们推荐一个。
我有更好的答案
TPS54295,有点贵
不知道,要是我我就用配合使用。
为您推荐:
其他类似问题
ti公司的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。芯片选择遇难题? TI常见芯片大集合
电子发烧友网讯:工程师在电路设计中芯片型号的选择最重要,面对市场上琳琅满目的芯片,究竟该如何选择适合自己满足电路性能芯片呢?电子发烧友网根据大家的需要在这里为大家总结了部分型号的芯片供大家选择和参考。
ADS1146IPW 用于温度传感器的 16 位模数转换器
ADS1148IPW 用于温度传感器的 16 位模数转换器
ADS7863IDBQ 双路、2MSPS、12 位、3+3 或 2+2 通道、同步采样模数 SAR 转换器
ADS7883SBDBVT 2.7V-5.5V 12 位 3MSPS 串行 ADC
ADS8317IDGKT 16 位、准双极、全差动输入、250kSPS 串行输出、2.7V 到 5.5V 微功耗采样 ADC
ADS8361IDBQ4 &通道串行输出 16 位 500kSPS 2 ADC
TLV5638ID 12 位、1 或 3.5us DAC,具有串行输入、双路 DAC、可编程内部参考和稳定时间、功耗
DAC7811IDGS 12 位串行输入乘法数模转换器
DAC7821IPW 12 位串行输入乘法 DAC
DAC8552IDGKT 16 位双路电压输出数模转换器
DAC8811IBDGKT 16 位串行输入乘法数模转换器
DAC8820IBDB 16 位并行输入乘法 DAC
INA128PA 精密低功耗仪器放大器
INA2134PA 音频差动线路接收器,0dB (G=1)
INA282AID 宽共模范围、双向、高准确度电流并联监控器
INA333AIDGKT 低功耗精密仪表放大器
OPA2140AID 11MHz、低噪声、轨至轨输出、36V JFET 精密运算放大器
OPA2209AID 2.2nV/rtHz、18MHz、36V RRO 精密运算放大器
OPA2234UA 低功耗、精密单电源运算放大器
OPA2330AID 1.8V、35&A、微功耗、精密、零漂移 CMOS 运算放大器
OPA2365AID 2.2V、50MHz 低噪声单电源轨至轨运算放大器
OPA2727AID 电子微调 20MHz 高精度 CMOS 运算放大器
High Speed
OPA842ID 宽带低失真单位增益稳定的电压反馈运算放大器
OPA843ID 宽带低失真中等增益的电压反馈运算放大器
OPA2690ID 具有禁用功能的双路宽带电压反馈运算放大器
OPA2691ID 具有禁用功能的双路宽带电流反馈运算放大器
SN10502D 低失真高速轨至轨输出运算放大器
OPA2356AID 2.5V 200MHz 的 GBW CMOS 双路运算放大器
THS4271D 超快超低失真高速放大器
THS4503ID 高速全差动放大器
THS4521ID 极低功耗轨至轨输出全差动放大器
THS3092DDA 双路高压低失真电流反馈运算放大器
VCA810ID 高增益可调节范围宽带压控放大器
VCA820ID 具有 dB 线性可变增益控制放大器的 150MHz BW
VCA822ID 宽带、大于 40dB 增益调节范围、V/V 线性可变增益放大器
BUF634P 250mA 高速缓冲器
ADS6122IRHBT 具有可选并行 CMOS 或 LVDS 输出的低功耗 12 位 65MSPS ADC
DAC902E 12 位 165MSPS SpeedPlus(TM) DAC,可伸缩电流输出在 2mA 与 20mA 之间
ADS805E 12 位 20 MSPS ADC,具有 内部/外部参考、2 至 5Vpp 之间的灵活 I/P、超出范围指示信号和引脚兼容
UCC25600D 8 引脚高性能谐振模式控制器
UCC2-V Boot, 3-A Peak, High Frequency, High-Side/Low-Side Driver
UCC27324P 双 4A 峰值高速低侧电源 MOSFET 驱动器
UCC28019AP 8 引脚持续传导模式 (CCM) PFC 控制器
UCC28600D 准谐振反向控制器
UCC38C43P BiCMOS 低功耗电流模式 PWM 控制器
UCD7100PWP 具有电流感应的数字控制兼容单输出低侧 +/- 4A MOSFET 驱动器
LP2951D 单路输出 LDO、100mA、固定电压 (3.3V) 宽输入电压范围
TL2575HV-ADJIKV 具有输出使能端的 1A 简易步降电压可调节开关稳压器
TPS40211DGQ 宽输入范围电流模式升压控制器
TPS5430DDA 5.5V 至 36V 输入,3A 降压转换器
TPS54331D 具有 Eco-mode 的 3.5V 至 28V 输入、3A、570kHz 降压转换器
TPS61085PW 具有强制 PWM 模式的 18.5V、2A、650kHz/1.2MHz 升压 DC-DC 转换器
TPS61029DRCT 采用 QFN-10 封装的可调节、1.8A 开关、96% 高效升压转换器,具有降压模式
TPS61165DBVT 采用 2mm x 2mm QFN 封装的白光 LED 驱动器
TPS61202DSCT& 采用 3x3 QFN 封装、具有 1.3A 开关和&降压模式&的 0.3V 输入电压升压转换器
关注电子发烧友微信
有趣有料的资讯及技术干货
下载发烧友APP
打造属于您的人脉电子圈
关注发烧友课堂
锁定最新课程活动及技术直播
机器学习/深度学习/人工智能(ML/DL/AI)的关键是了解设备如何对真实事件和刺激作出反应,以及如...
在Turing(图灵)和Ampere(安培)架构到来之前,NVIDIA旗下挖矿和打游戏最猛的单卡就是...
TI公司的LMZ21701是容易使用的SIMPLE SWITCHER(R) Nano模块,小型3.5 m...
中国移动和路通X2搭载紫光展锐LTE智能车载后视镜平台方案展讯SL8541C,采用精准语音识别系统,...
比特币挖矿机是用于赚取比特币的电脑,这类电脑一般有专业的挖矿芯片,耗电量较大。用户用个人计算机下载软...
中国经济观察报报道称,国内大量一线城市曾加入争夺此项目的行列,但最终,西安脱颖而出。西安为获得该项目...
Gotmic: 专注于40-170GHz毫米波芯片设计Gotmic是从瑞典查尔姆斯理工大学产业化独立...
《中国制造2025》期待达到的目标是通过智能制造和智能化工厂来实现企业内部的智能化,而工业互联网在智...
“2017年,我国集成电路产业(芯片)规模日益扩大,实现销售收入5355.2亿元,同比增长23.5%...
台湾股市IC设计厂去年每股获利(EPS)排名洗牌,联发科退居第5位,较前年后退一名;神盾则首度跻身前...
X产品应用的领域非常非常广泛。基本上只要带电的地方,都可能用上X的产品(只是说可能而不是一定,毕竟X...
随着全球半导体竞争格局的改变以及中国产业政策的持续支持,中国IC行业获得了蓬勃发展,在世界舞台上崭露...
“ARM实在太贵了。”信息安全芯片公司Dover Microsystems联合创始人Jothy Ro...
集微网消息,继华为去年发布麒麟970高端芯片中导入AI架构后,日前传出华为海思将推出的麒麟670中端...
近年来,中国芯片进口额屡创新高,进口金额更是早已超过石油进口额,缺“芯”已经称为中国制造的一块“芯”...
TI公司的TIDA-01179是30W汽车前端电源参考设计,包括了两个DC/DC转换器:第一个为降压...
黄博士认为当前主流FPGA的硬件结构并不是为人工智能定制的,与Google的TPU等ASIC架构比较...
搜遍全网,也没找到详细到芯片级别的拆解报告。自己动手丰衣足食,于是去隔壁赛格买了台比特大陆最热门的蚂...
深圳市航顺芯片技术研发有限公司的5W/7.5W/10W/15W 芯片级无线充电方案正式发布。
原位芯片凭将于2018年内发布国内首个MEMS液体流量传感器,并完成MEMS芯片式胰岛素泵和PoCT...
微系统的核心技术是集成,正在由平面集成向三维集成、由芯片级向集成度和复杂度更高的系统集成发展。
当前,智能手机等移动设备在半导体的消耗量占压倒性的多数。从手机近十年的发展历程可见,原来是功能性手机...
设计外包的关键有两方面。其一,进入新领域时,如果设计机构缺乏经验,就必须找寻具有处理新领域设计能力的...
随着全球半导体竞争格局的改变以及中国产业政策的持续支持,中国IC行业获得了蓬勃发展,在世界舞台上崭露...
昨天全国首个CIDM集成电路项目在青岛西海岸新区签约,该项目由青岛西海岸新区管委、青岛国际经济合作区...
2016 年的春天,一场 AlphaGo 和顶级围棋高手李世石的人机世纪对战把全球推上了人工智能(A...
日前外媒报道,比特大陆已经开发出“特殊应用集成电路”(ASIC)芯片,专门用于挖掘以太币,预估今年第...
一年一度的GTC至今已经迎来了第十个年头,虽然它每年或多或少的给网友一些“失望”,但它也终究是代表着...
据亚洲新闻台3月28日报道,华为公司3月27日在巴黎发布新手机P20、P20 Pro后,华为终端掌门...
TI近日推出采用CapTIvate(TM)技术的MSP430(TM)微控制器(MCU)系列产品,为成本敏感型应用...
近两年来,边缘计算这个词频繁被提及,得益于前期ETSI、3GPP等国际标准组织的推动,2016年在国...
 展讯SC8810的参数与功能介绍SC8810的工艺说明:采用40纳米CMOS工艺,是一款高集成度、...
随着物联网技术这几年被高度关注,耳熟能详如窄频物联网、LTE-M、Wi-SUN及Sigfox等众多的...
早在一年前这款芯片就已经引起了广泛重视,跳票半年之久后面市为智能机顶盒注入新活力。它拥有64位 八核...
小编带你认知主板上的主要芯片。时钟芯片需要和14.318MHz的晶振连接在一起,为主板上的其他部件提...
88SS88是Marvell最新推出的支持单端口和双端口功能、NVMe 1.3...
从六个方面入手,分析了集成电路芯片在新兴应用领域的发展趋势。从 2010 年开始,在硅麦克风、惯性传...
恩智浦是汽车电子等热门领域的市场领先者,而这些市场的增长将会给收购方带来巨大的收益。如果想要在未来取...
作为欧盟项目SiGe HBT 工艺为基础的DOTSEVEN (0.7Thz)和 RF2THz SIS...
“特朗普上周正式打响对华贸易战,引发双方唇枪舌战。经过一周的你来我往,事态不断升级,不过最新消息称,...
Google、特斯拉、三星、高通等80家科技公司准备组队研发一种新的芯片设计模式,这部分业务正是AR...
研究人员希望通过这个迷你芯片,了解在制作针对特定器官的药物时,是否会对其它器官产生意想不到的副作用。
而DGX-2 单机箱安装了 16 枚 V100,总体性能达到了惊人的 2PFLOPS——业界第一台超...
学习单片机,你不能没有一本纸质的教程,到本地的书店挑选或便宜的可以到卓越、当当网掏一掏。有了教程能更...
日下午2点,由电子发烧友主办的第二届USB Type-C PD快充技术研讨会在深圳...
权威电子行业研究机构IHS Research发布《中国CCTV与视频监控设备市场研究报告》显示,20...
中国计划在未来10年部署3000万辆无人驾驶汽车的抱负,将培育一个新兴的芯片产业,地平线机器人等创业...
随着中美贸易摩擦走向谈判桌、美财长“放风”有望避免贸易战之后,面对美方的诸多苛求,中方将如何“还价”...
据中国证券网报道,半导体领域权威研究机构集邦咨询在慕尼黑上海电子展会期间提出,2018年伴随着多个新...
中国希望在十年内部署3000万辆自动驾驶汽车,这在中国催生了一个新兴的芯片行业,涌现出地平线机器人(...
2017年,HMD复刻了这款经典,带来了支持3G网络连接的诺基亚3310 3G,成为Google年度...
今年的政作报告要求推动集成电路产业发展。俗称“芯片”行业的集成电路产业,其重要性可以用“工业粮食”来...
紫光集团董事长赵伟国日前接受中国证券报记者专访时表示,紫光集团已经完成产业布局,将从并购过渡到以自主...
中国的半导体产业一定是上升的,而且一定是大幅度上升的。
终于有人把芯片元器件贸易商彻底说透了! 最近涨声四起,缺货声众,贸易商们纷纷摩拳擦掌,芯片业者人人谈...
WSTS 预计 2018 年存储器芯片市场的规模将增长 11.6%,模拟芯片和逻辑芯片的市场规模也将...
我国成功完全自主研发制造出第四代雷达,并成为目前为止世界上唯一拥有反隐身(先进米波)雷达的国家
最小系统:考虑到小车的主控板可以作为今后做四轴或其他更高级的机器人的基础电路,所以主控选择了STM3...
芯片是信息科技的基石核心,而在诸多领域国产化率偏低,长期依赖进口,一旦贸易战发生,很容易陷入受制于人...
"预计2018年芯片总出货量会超过20亿颗,目前热销的芯片型号近200款,国产手机基本都采用了艾为芯...
三星通过其官方正式发布新一代Exynos 7系列中端移动处理器──Exynos 9610。而这款处理...
对象或特征的精确定位是一个检测系统或由视觉引导的运动系统的重要功能。传统的物体定位采用的是灰度值校正...
当然,完整的系统测试中要比这套IR LED数组更复杂;除了相当数量的设备外,还需要更多的人员投入以及...
创新早已融入 英特尔 的基因与血脉,面向数据洪流驱动下的全新未来,英特尔继续引领计算创新,释放数据的...
对于黄伟和 云知声 来讲,今年是让AI芯片经受市场考验的一年,也是进一步扩大业务的一年。 去年,智能...
"目前已经有超过35款手机在design in新捷的无线充电IC,并已成功导入多款无线充电手机。"3...
从打响芯片持久战,到进军公有云,紫光在数字经济快速发展的同时,正从自主研发和国际合作两条路径出发,对...
今天的汽车RCL设计变得更加复杂,需要不同的动画和优雅的造型,而系统安全仍然非常重要。
芯片IC的价格如雨后春笋都纷纷涨了起来...
本发明提供了一种加速度计内嵌压力传感器的单硅片复合传感器结构及方法,以解决传统复合传感器芯片结构尺寸...
 不断卷入诉讼纷争在一定程度上也拖累高通,自2011年iPhone 4S开始,苹果的主要供应商都是高...
日前,由智东西联合极果、AWE举办的GTIC 2018全球AI芯片创新峰会在上海举办。本次峰会以“走...
虽然最初的TI主要从事军火供应,但真正让TI闻名遐迩的是其在信号处理与模拟电路方面的成就。除了提供模...
除了国内外巨头和新兴厂商,AI芯片的故事在2018年还将上演哪些扣人心弦的章节?欢迎来“中国(上海)...
在没有显微镜的帮助下,这款电脑很难用肉眼来识别。不过,电脑虽小,但是功能齐全。据介绍,该设备包含几十...
或许您从来都没有使用过热电耦,假设您没有必要知道其工作原理,但我不同意这一观点。我相信花上十分钟阅读...
无线通信模块使各类终端设备具备联网信息传输能力。其是连接物联网感知层和网络层的关键环节。属于底层硬件...
模仿低功耗、高运算力人脑的类脑芯片,能给机器带来人类智能吗?
而此次的Helio P60则首次采用了A73四核+A53四核的big-LITTLE八核架构,虽然大小...
德州仪器(TI)(NASDAQ: TXN) 近日推出了两款新型4V至36V电源模块,尺寸仅为3.0 ...
人工神经网络一般被分成很多层,某一层中的一个处理器节点会从数个下层节点中获得数据,在计算后又将结果传...
除此之外,联发科Helio P60还采用了自家的NeuroPilot AI技术,这项技术能够协调CP...
本文开始对12寸晶圆价格变化趋势进行了分析,其次阐述了12寸晶圆的应用及12寸晶圆产能排名状况,最后...
局部放电会伴随产生电脉冲、超声波、电磁辐射、光、化学反应,并引起局部发热等现象,是电器元件老化的预兆...
据MIT News报道,麻省理工学院(MIT)的研究人员开发出了一种可用于神经网络计算的高性能芯片,...
芯片制造的过程就如同用乐高盖房子一样,先有晶圆作为地基,再层层往上叠的芯片制造流程后,就可产出必要...
ReRAM是基于简单的上电极、阻性转换介质和下电极组成的三层架构。而阻性转换介质的工作机理是,当电压...
这些移动机器人能在舞台上如此灵活的完成任务的背后最主要是的有一颗强大的心脏——芯片。而中国也已经掌握...
矿机芯片需求旺盛,有望成为中国封测行业新兴驱动力。挖矿热潮成就中国芯片设计黑马。世界三大矿机生产商比...
这个叫做ICLYL的工程师,用这块老掉牙的A10开发板,搭建了samba共享文件系统,实现了FTP远...
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-}

我要回帖

更多关于 芯片型号怎么看 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信