vhdl 16位乘法器模块单个模块用modelsim仿真都整成,但是连起来输出信号都是无效

求助,MODELSIM仿真输出为红线U-电子产品世界论坛
求助,MODELSIM仿真输出为红线U
用DSP-BUILDER在SIMULINK中生成模块(只加输入和输出的24位ALTBUS,中间无任何器件模块,仅为了测试MODELSIM仿真),然后用SIGNAL COMPILER输出VHDL文件,打开MODELSIM将其编译,然后用VERILOG写了TESTBENCH,但运行前仿真时,输出无信号(为红线U)。求救!!TESTBENCH是找例子改的,小弟初来乍到,请大家多批评指教
(以下是SIGNAL COMPILER生成HDL文件和用VERILOG写的TESTBENCH)
-- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.
-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.
library IEEE;
use IEEE.std_logic_1164.
use IEEE.NUMERIC_STD.
entity firdigital1 is
&&Clock : in std_
&&aclr : in std_
&&firdigital1_Subsystem_Output : out std_logic_vector(33-1 downto 0);
&&firdigital1_Subsystem_Output1 : out std_logic_vector(24-1 downto 0);
&&firdigital1_Subsystem_Xin : in std_logic_vector(24-1 downto 0)
end entity firdigital1;
architecture rtl of firdigital1 is
component firdigital1_GN is
&&Clock : in std_
&&aclr : in std_
&&firdigital1_Subsystem_Output : out std_logic_vector(33-1 downto 0);
&&firdigital1_Subsystem_Output1 : out std_logic_vector(24-1 downto 0);
&&firdigital1_Subsystem_Xin : in std_logic_vector(24-1 downto 0)
end component firdigital1_GN;
firdigital1_GN_0: if true generate
&inst_firdigital1_GN_0: firdigital1_GN
&&port map(Clock =& Clock, aclr =& aclr, firdigital1_Subsystem_Output =& firdigital1_Subsystem_Output, firdigital1_Subsystem_Output1 =& firdigital1_Subsystem_Output1, firdigital1_Subsystem_Xin =& firdigital1_Subsystem_Xin);
TESTBENCH:
&//module_item
&reg [23:0] firdigital1_subsystem_
&wire [32:0] firdigital1_subsystem_
&wire [23:0] firdigital1_subsystem_output1;
firdigital1 dut(.clock(clock),
.aclr(aclr),
.firdigital1_subsystem_xin(firdigital1_subsystem_xin),
.firdigital1_subsystem_output(firdigital1_subsystem_output),
.firdigital1_subsystem_output1(firdigital1_subsystem_output1));
initial begin
clock = 0;
forever #2 clock = ~
initial begin
firdigital1_subsystem_xin = 5'b00000;
firdigital1_subsystem_xin = 5'b00001;
firdigital1_subsystem_xin = 5'b00000;
firdigital1_subsystem_xin = 5'b00001;
initial begin
& $timeformat(-3,1,&ms&,12);
$display(& Time Clk Rst Ld SftRg Data Sel&);
不知道你的功能,不好检查。
红色表示未知X,可能是没驱动,也可能是多驱动。
最好把dut中的所有信号都拿出来看。从源头上检查
请教ACLR应该怎么设置,怎么驱动输出?
Aclr,从名称上看是异步清零,高电平有效。
所以一般是先赋0,一段时间后赋1,然后再给别的激励。
另外如果你的Timesclae是1ns,那么你的#2可能太短了
多谢,多谢,我试试
不知道是否真的解决了?
没有解决,依然如故。。。唉
老版,您用过DSP-BUILDER么?是不是SIGNAL COMPILER生成的HDL语言文件真的可用啊?我在怀疑
难不成要我直接对我设计的16阶FIR滤波器VERILOG或者VHDL编程?
后来我把timescale设置为1ms,精度10ns了
编译DSP BUILDER自动生成的HDL文件是不是要编译所有的文件,还是就那个模型文件?
没用过DSP-BUILDER.
你可以直接调用MEGACore 中的FIR IP。很方便的。
但没有license,不能离线用
编译图中几个文件时发现我没加ALTERA库和DSP_BUILER的库
添加上后尝试,还是不行,线条编程蓝色,显示ZZZZZZZZZ(HIZ)
继续尝试。。。
匿名不能发帖!请先 [
Copyright (C) 《电子产品世界》杂志社 版权所有 上传我的文档
 上传文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
基于modelsim的前仿真和综合
下载积分:20
内容提示:基于modelsim的前仿真和综合
文档格式:DOC|
浏览次数:462|
上传日期: 01:04:43|
文档星级:
全文阅读已结束,如果下载本文需要使用
 20 积分
下载此文档
该用户还上传了这些文档
基于modelsim的前仿真和综合
关注微信公众号为什么用vhdl语言编好程序后,用modelsim仿真的时候,值(value)都是U,波形都是红线··_百度知道
为什么用vhdl语言编好程序后,用modelsim仿真的时候,值(value)都是U,波形都是红线··
刚学的VHDL语言,用quartus10.1写好一个3-8译码器后,经过start compilation =&start
test bench templte writer,生成了.vho和.vht2个文件,然后调用modelsim仿真,可是出现的只有红线,是不是少了什么激励信号啊?如果是的话应该怎样用VHDL写这个激励信...
采用的芯片是max7000s的epm7128slc84-5
我有更好的答案
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_tb ISEND ENTITY;ARCHITECTURE behaviour OF decoder_tb IS
COMPONENT decoder ISPORT(a,b,c,e1,e2,e3 : IN STD_LOGIC;
: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END COMPONENT;--定义测试信号SIGNAL a,b,c,e1,e2,e3 : STD_LOGIC;SIGNAL y
: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN
U1: decoder PORT MAP(a,b,c,e1,e2,e3,y);--元件实例化
test_vector:PROCESS--测试进程 BEGIN
e1&='0';
e2&='0';
e3&='1';
a&='1';--测试输入101
b&='0';
c&='1';
WAIT FOR 100--等待一段时间变换测试向量,这样才能在波形图中看到输出
a&='0';--测试输入011
b&='1';
c&='1';
WAIT FOR 1000
END PROCESS;END--百度一把testbench就能找到很多教写testbench的文章
采纳率:56%
在MODELSIM中红线的意思是未知值。您可以给它赋一个初值,它就有值了。寄存器是二值逻辑,0或者1线网型除去0或者1还有高阻态Z。其它强0强1态什么的就不说了,最好去查阅相关资料
仿真的时候,你的module里面所有用到的input都需要给激励;不然就会是红线(unknown)仿真:写一个testbench,在里面对需要的input添加激励
那怎样添加激励呢,也就是这个testbeach怎样写,比如说这个38译码器的激励
大概说一下:你在testbench里面对你design的input赋值,然后将其连到design里面你学习VHDL的资料里面应该有参考,你看看
悲剧的是我看的资料上面都是怎样写源文件的,关于仿真的都是quartus9.0版本里面的,那个版本自带仿真的,可我现在又下不到那个版本,能不能用VHDL写一下这个38译码器的testbech让我参考一下···
我用的是VerilogHDL,不过我可以用VHDL大概写一下给你稍后发给你吧
为您推荐:
其他类似问题
vhdl语言的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。当前位置: &
> modelsim免费版下载
modelsim免费版(HDL语言仿真软件) v10.1c 官方版
modelsim免费版是现在最好用的HDL语言仿真软件,数码资源网为你提供modelsim破解版下载!它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句!并且这款软件应用的范围非常广泛,工业设计、教学领域都非常的适用,对于初学者也是十分友好的哦!需要modelsim免费版的朋友自行下载吧!modelsim免费版基本简介:对于初学者,modelsim自带的教程是一个很好的选择,在Help-&SE PDF Documentation-&Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。modelsim免费版软件特色:源代码模版和助手,项目管理;集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能;C和Tcl/Tk接口,C调试;对SystemC的直接支持,和HDL任意混合;支持SystemVerilog的设计功能;对系统级描述语言的最全面支持,SystemVerilog,SystemC,PSL;ASIC Sign off。可以单独或同时进行行为(behavioral)、RTL级、和门级(gate-level)的代码。modelsim免费版使用方法:RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;单内核VHDL和Verilog混合仿真;解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选择是。出现Modelsim License Wizard时选择Close。在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件夹下。 若License通过,但是打开ModelSim仍出错,则将系统时间调到2008年之前,重新生成License,再将时间调回来即可。修改系统的环境变量。右键点击桌面我的电脑图标,属性-&高级-&环境变量-&(系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了,请用&;&将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat安装完毕,可以运行。注意:电脑的用户名不能为中文;安装路径不能出现中文和空格,只能有数字、英文字母和下划线&_&组成;若计算机还需要使用ModelSim-Altera 6.4a (Quartus II 9.0),可不需做任何额外的设定,ModelSim SE 6.3g会自动抓到这个系统变量,并使用这个license file;反之亦然。这里还有软件官方下载哦!赶紧来看看吧!该资源需跳转到百度网盘下载
ppt幻灯片软件下载
ppt幻灯片自动播放很多人都领略到了它的魅力,那么ppt幻灯片制作软件都有哪些呢?在本站那么ppt幻灯片软件下载专题中小编为那你准备了powerpoint等各种PPT软件...
nero刻录软件破解版免费下载
刻录软件nero免费下载的版本大多是破解版,需要注册码和序列号的!现在小编收集了多版本的nero刻录软件破解版免费下载分享给大家,德国公司出品的光碟刻录程序nero一向...
modelsim免费版下载
高速下载器通道
其他下载地址
下载不了?
108MB | 简体中文 | 0分
机床厂家实际加工制造的经验相信你肯定没有,那你就需要用上斯沃数...
129 K | 简体中文 | 0分
行业软件推荐时间到啦!南京宇航数控仿真软件是一款专业的仿真软件...
26.1 MB | 简体中文 | 0分
股市风险大,实盘上手之前一定要做好学习工作,金元证券钱龙期权宝...
1.35 MB | 简体中文 | 0分
这款驻波仪仿真器(SiteMaster Emulator)是一款非常不错的电脑仿真...
21 MB | 简体中文 | 0分
赶快下载Vista界面仿真器吧,数分钟后您就能拥有Vista的界面了,快...
36.67 MB | 简体中文 | 0分
machine tool是指制造机器的机器,现在科技在发展,数控加工仿真系...
9360 K | 简体中文 | 0分
1810 K | 简体中文 | 0分
14505 K | 简体中文 | 0分
961 K | 简体中文 | 0分
下载排行榜}

我要回帖

更多关于 vhdl 模块调用 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信